找回密码
 加入计匠网
搜索
热搜: BIOS ACPI CPU Windows
查看: 51826|回复: 39

戏说BIOS

[复制链接]
发表于 2009-7-6 16:05:24 | 显示全部楼层 |阅读模式
戏说BIOSHello BIOS
3 M/ {0 D- e  [7 f) ]
+ F( U  x! A  M/ S

9 N. N3 X% G1 s# T3 g我所知道的EC”系列基本结束了,现在我终于可以有空玩一玩BIOS了。可是需要特别强调的是我是一名EC而不是BIOS,所以我看BIOS的视角可能会不够专业,也不够正统,这也是为什么我将这个系列取名为戏说BIOS”的原因。可能有些朋友会觉得我不务正业,身为EC却去玩什么BIOS(呵呵我本来就是不务正业J),我觉得PC本身是一个非常复杂的系统,从经验来看很多问题都不是孤立的,通常会牵扯到很多的环节,因此如果将视野局限于自己的一亩三分地则很有可能见树不见林,看不清问题的本质。所以我觉得全面细致的理解系统的各个环节非常有必要;而且我的技术目标也是希望通过n年的努力能够贯通PC系统从EC->BIOS->OS->DRIVER->APP的整个链条,把握系统的运作的脉络。关于这个“戏说BIOS”系列,我打算先练习一些BIOS新人学习作业,如:cmos dumpkbc accesspci scansmbiosdump等等;然后在对我感兴趣的一些BIOS的领域做一些study。现在让我向BIOS世界打个招呼吧: “Hello BIOS,I am coming!”
) F* j' ], m3 n+ t
data segment+ D& S1 S' w7 o5 ]1 m
, Q4 `* T2 T. q. s2 a$ A
HelloBIOS db 'Hello BIOS,I am coming!$'

( d+ l* H- e" |0 D1 Z0 M- b- A8 _$ T+ \1 V% f& L7 J2 U
data ends+ r4 |/ [1 X$ z- V4 V+ M
1 ^, ?1 z4 ?: P: t" A" N. c
code segment
9 e/ y( ]' R' E3 j3 \9 X$ F: K: R& }+ [! ]5 V* Z
assume cs:code,ds:data

6 x. b( S/ k" K# I2 ~9 z9 ~6 ]
$ w' J$ O: H/ Vstart:- e9 ^0 X7 M/ X
8 `, ]7 v2 X% q( }! V1 h
mov ax,data
" f; G. ^. I7 W4 M- \7 |/ K& u: m* a
* l+ C; h8 m  B2 `
mov ds,ax

) ?  k* D3 F- _- k/ `8 d' L1 z3 y' C9 W  X2 E, o
mov dx,offset HelloBIOS

* }  M2 ]  O7 @9 p8 D8 i- k1 ^- N2 D7 v3 j5 l" Z/ P" q
mov ah,9

+ R% V# M! I! G0 m! w
- R8 S4 d. d( L! m: J, i7 M, m6 Vint 21h
; B) b) y* G5 T
* G$ c( f4 d% f, S
mov ax,4c00h; P7 r7 T2 S* k7 M% j6 q

. f" D8 c; ^2 ?3 Yint 21h
# R- Q5 {+ A8 j7 P. D. B4 i

' R9 C) R( C/ jcode ends
, e" J, ^! S' d9 O) S0 ^5 m+ ~) e5 V
end start3 b# E! n: F; B8 y8 f/ c6 G9 N+ R
5 D) k8 |3 q6 a6 K$ W
That’s all!
: j, H2 W, E) w6 w& w$ C- k) ePeter $ [7 C3 X% W0 A1 c) e& T$ }
$ c( \5 D+ i1 c/ \5 o% G$ j1 H1 m/ W
[ 本帖最后由 peterhu 于 2009-7-8 09:13 编辑 ]
 楼主| 发表于 2009-7-6 16:07:54 | 显示全部楼层
戏说BIOSCMOS
9 l! k& M# s& f1 o$ ], s* D8 p

3 D0 G8 u3 Q- b2 l" s# q4 Q1. Introduction
* x" J+ i! w+ l9 V4 b% j3 k7 w% C6 ?# t% Q2 D$ L4 `
CMOS全称为complementary metal oxide semiconductor, 翻译成中文就是互补金属氧化物半导体,它是由一颗小的纽扣电池供电的128/256 bytesram(现在的chipset通常提供256 bytes或者更大的空间)。它主要用于存放RTC以及一些oem的系统配置信息,所以除了RTC等部分其它的很多信息都是undocumented& non-standardRTC 标准的(documented&standard) ram bank如下表 1 所示:" |# \, {; I: f9 o1 C
  A; {' l1 P5 u7 \
Index

, q3 i4 S' J( p
Name
! A6 u( @' V# \- {( E
00h6 T* ?2 @. w* I1 o0 q& Z" D
Seconds; h8 u9 g+ p3 [6 G0 j7 A
01h
" s- i/ e# q7 ?: {
Seconds Alarm4 K: L5 E& g2 W
02h( i) G) s( S8 _) Z' H# d
Minutes
" H* B0 M  N" b# ]3 F5 ?/ f$ p+ m
03h
& W% S0 ?. V; j# p& _& E
Minutes Alarm
1 y! @& p$ @9 I, I3 T" J
04h
5 r" l& W' Z9 I" P% a1 ?
Hours$ Q& p3 P$ w; ^+ d1 z2 h0 X& r
05h) Y# L6 d( w$ h) K
Hours Alarm% L# u5 w+ D; J
06h. B5 R; \8 u" V: y- ?* z8 ^
Day of Week
& H* c) s6 o$ e+ m7 ?
07h, Q6 j& J. b2 d- |4 U8 m
Day of Month
+ h* \4 T9 n7 K/ E8 D
08h
! v. ~# A7 [6 H- J/ {# Q- L
Month# Y/ x/ |3 h5 ^7 @9 W+ X0 _
09h/ v0 d+ K- v5 q6 K* q7 u5 h) x; r
Year) I. [6 k' \0 C" s. H6 J
0Ah
1 L' x! Q6 B, @& C9 Z1 u3 f
Register A1 o* p+ Z6 V4 [, Y2 H
0Bh
1 ]# K& ~& e; C- p# _
Register B( I( N3 e9 b& p2 X  }
0Ch5 e6 @$ c8 M& y& G( C8 _
Register C8 q, Q0 }5 M: b1 h- O5 H
0Dh& b) z. ~$ @  C
Register D) T. C% ?& p( H/ ]5 c' K- N8 _
0Eh-7Fh
; ]5 a1 _0 @; G( |- c* F6 B1 {! ^
114 Bytes of User RAM
( Q' H: N, W. K; s0 ^
                 表 1/ d, Z- o- @( y1 K. b6 _% N
. r* q/ Z7 T- n- g7 E! X4 A
2. Access Cmos! q5 h$ l( m! H3 V" N" X
$ m9 y% E+ t- G- {4 B- ^+ t) y3 V

9 T& ^9 @* ^# I0 E* f% V; _访问cmos通常是透过70h,71h这两个IO port实现的,有些chipset支援256 bytescmos ram,访问128bytes以后的空间需要开启chipset的始能register,有些chipset使用72h73h访问扩展的空间如intel chipset,有些仍然使用70h71hsis chipset,因为这部分是非标准的,故后面的练习程序就不去读写这部分ram space。读写cmos的过程非常简单,读特定的index的内容只需要将index送给70h,然后就可以从71h读出对应的数据,具体过程如下述code所示:

+ c" o2 R# @8 Q;----------------------------------------------------------------------------
! E3 v; T( a/ q' v

8 N- Z8 r/ m+ k  ?' C$ B) X* \;read_cmos
' E8 G' Q; g! o& o;read the contents of a specific CMOS register, Q$ l1 n, {9 F6 O5 X" }6 M
;call with  r9 u2 s) |8 j/ w
:al = CMOS address to read
# ?/ {1 R1 |# W;returns( x  b5 _, M6 }, X
:ah = Contents of register& ?( J) Q; M2 |! L( V
;used registers: ax
7 I/ y: }9 o" X7 ^6 ~) W0 M;-----------------------------------------------------------------------------
9 {9 S. W( U) \0 d/ |- n3 wread_cmos proc near4 _, J( A7 W& H" G  Z  K. }+ L$ @
1 m' O. y7 l8 G* N5 G

0 z$ W! H; d/ i' E8 Y7 }, Bcli

+ V, k) j  k$ b* ^" q5 a$ M+ |* G5 z
or al,80h;disable NMI
* o6 C( i: ~1 S2 q0 d* E: \" `
3 C6 H  N- s7 P
out 70h, al
, X) ]0 f( n9 n: o3 y" G

0 t0 |& i. ~6 s( I  acall io_delay
" X8 k; h% `9 E% g& G6 D/ W0 Q: t

' _8 l: {' g) [/ H; k- R7 Vin al, 71h
5 q3 _9 f& C! z1 G% [& Z. C, S
0 [( s$ Z  ~# A
call io_delay
7 W! {) Y: o2 ^* \1 O

* _9 B, [' y. mmov ah, al

2 |9 p* q; J9 K; A3 H
4 n. B3 B: ]* Y) F; k6 H* gxor al,al

" }4 y2 _7 A; a8 J' l
7 [- k, j1 D9 \8 g: Q' Y: gout 70h,al ;enableNMI
% m  O- k7 Z& |6 K. }
1 a! s) ?! X$ A8 c) D* s
sti
8 C# \6 |2 \& M1 [, u2 y) B. z) h

$ B3 ^- _- |/ o: F- |ret
. x# h+ H) A2 y, X7 o+ P
: R# d7 x) u  ]% M- a  S
read_cmos endp
/ }& r+ {, f( g- {5 I写操作和读类似,只是要将待写入的数据送给71h即可代码如下所示:( D, Z( M  g- K  y9 |
;----------------------------------------------------------------------------' L2 t$ v, ]- C

( y" [# m* S0 H;write_cmos
1 ~" z' u* H9 g. F;write the contents of a specific CMOS register
/ }9 b, V  d6 `% K% T;call with* @- A9 d! D8 {3 L/ y* b
:al = CMOS address to write
% D7 u1 ^* O* _. d;ah = Contents of register
0 k1 W3 A+ H; _8 Q( |: A$ p0 t& s6 V;returns:NULL6 ?' U2 \/ f- q4 \0 a5 t' K6 E
;used registers: ax! T3 ]& O6 S+ J- t+ Z1 W/ r# X
;-----------------------------------------------------------------------------
5 d# p) x1 o4 J2 ?$ m7 fwrite_cmos proc near
+ H5 m: I% }. O" X  V. `8 e$ y- A
% N: N% f" [9 f! v' @) m: }3 h+ v# fcli

- t/ Y& ?: ^/ Q- _* j& b  a; M6 O, _1 @* O" P' Q
or al,80h;disable NMI

8 ]) s( M  V" j+ Q% ^2 z: L0 x
0 k1 K5 r* T! ^2 W2 [out 70h,al
" l( a' f+ z9 K+ ~$ U

) ~# s/ a: I$ p! scall io_delay

! y& p' v9 a9 O3 x: N+ J
5 ]/ r! `  k4 D. u4 o3 cmov al,ah

" e! l. |9 m  ?& W7 w. J* K  P" r2 [' a# `- v& a/ j: B
out 71h,al
3 a' Z3 B' c; k2 E9 v2 j
1 L$ f1 `5 M) C! H2 c
call io_delay
4 I* V* I" \8 _) O
. H* D# c$ c/ m# s! _$ T
xor al,al

8 ?- Y2 e2 x* h$ j' D! Q( z
& a5 P$ t* z; Yout 70h,al ;enableNMI

( u2 J. S6 {4 b8 p0 |1 ]2 p* w- n. t8 I% ]! ~3 K
sti

; E, w* o) ~2 `+ w2 S* R+ X3 R; j8 {2 z2 r' ^
ret

% i: [: O9 _. @* l9 e: g) U+ c2 S$ X8 p2 e/ q, q$ H
write_cmos endp; Z9 B" r7 L; g

8 y$ S& G/ Z1 A  w# J另外有些细节需要注意的是:a.读写过程中都需要关掉中断以防止,中断处理程序访问CMOS以及RTC更新过程中可能会导致并发访问。b.NMI(non-maskable interrupt)是一种中断向量为2的中断,但是与常规中断不同的是它不能通过mask register屏蔽掉而且sticli指令也对它无效;NMI通常用于一些无法恢复的硬件错误,访问CMOS时也可能产生NMI,所以需要关掉。NMI可以通过70h bit7做开关。c.状态寄存器A bit7记录了RTC是否正在更新,如果正在更新则等到更新结束再去读RTC(我写的cmosdump因为偷懒没有检查这一个bitJ)。
' J+ D. E% y* k4 C8 n$ p

. L8 P3 X1 e5 ~: z. G3. Msg Based Event Driven5 E' {; o9 {% h

" _! y) P5 L/ L3 y* U( u
# r' z$ z9 X8 c: C" I, N; r知道了以上的知识,我就有能力写一个类似RUdump cmos的工具了下图1就是我写的cmosdump
4 m/ U& o2 U/ i2 T9 t
) X. B' u0 I3 O# Y, S: ~
cmos1.GIF : T* q- j; O4 \) o$ \
我觉得访问cmos本身并不困难,画个UI倒是挺费劲的,一个劲call vbios( J2 C1 C6 t6 i1 R; O
另外在完成这支tool的过程中我更深刻的体会到知识是相通的了,windows编程的经验在这里发挥了优势,为了能够动态更新,实时修改我就借鉴了windows下的“基于消息,事件驱动”的机制Mainloop->GetMsg->TranslateMsg->DispatchMsg一路下来好不快活!这部分的代码如下所示:8 U3 Y5 H$ o9 O8 j
5 O( n8 a; R9 i. f
1 W. l9 U+ e! {, D* g. M) E$ |# [
mainloop:1 z0 z- U8 n" O) b
. N% Q; @* k7 v0 C& y- B0 A& y5 K
call show_index
1 b, m, H& v4 W/ r7 v; s9 @! {: o
& w# x5 I7 n9 I: _( {8 k4 r8 Y
call show_cmos
! ~' w2 R" ~# g: s2 i* o

0 z/ h( ?' H& p: {2 Tinput_msg:
, g' }, \. ~3 a' ^0 b" U
% k& v- T2 Z. M6 emov ah,0

, d$ A% j1 [& v# z& a' A) {" E# B5 w+ j; T0 Z
int 16h

0 d5 b& W& d# g' A3 C# h2 ]' s7 L* `1 V6 m
cmp ah,01h ;esc
% z# q) ?8 k8 z9 ~% s

$ Z- v8 G- m9 M' z7 W) bje exit
7 h& m  v- j& w' n( ^$ K

; T6 a2 j4 m, Y& F( J; {) Ecmp ah,48h;up arrow

* u6 M& H; }+ [  X! I' C3 {9 ]4 p7 {& p# N
je up

- k% O1 r3 N5 C: f! s
8 ]8 z" h3 d4 K5 P- O4 l) E4 m, h" i  Rcmp ah,50h ;down arrow

% A- d: K' n6 J6 K
  s) @5 M4 ^, z( ]' ?je down
9 C1 R9 t4 ^+ k1 u) Q6 i
* `7 V1 Q+ o6 @$ b: J
cmp  ah,4bh;left arrow
7 O. @3 D  ^+ ]) N6 |$ I
1 E5 X* ~. V7 V. f# N
je left

. t  m* Q$ n. f+ v* J3 u& S) F  C5 ?% ^$ G
cmp ah,4dh;right arrow

/ @: @0 }* g9 n8 ?, I, z$ d* |, T( t+ \( G9 I# ]0 X" A
je right

% o! Q( |) Q  \. N5 l& r; {2 Y: q, G7 T9 e; R) c
1 x/ r# e5 Y0 }9 Q$ `
call input_byte
8 g0 b# @4 h- j3 j3 J

1 I) M. ?( |/ j. o) q! N( Ncmp bl,1
7 ]5 N$ C& v  z8 k. a; {
7 O# v* z+ O- w; G
jne msg_loop
0 K. i& m( F& p8 u
! V+ ~! j3 q1 h+ i* D6 C
mov ch,ah
1 F% Z5 p! ~1 f( P7 L

2 M1 x8 A6 ?$ S# ]8 hmov ah,0

5 `' A+ _0 q4 e" U/ }6 H5 w! F1 m, d4 O6 o9 ?$ M& a
int 16h

9 H6 O5 v5 l$ p& ]* X: Z" X1 o  b9 }& F- C5 }
cmp al,0dh;enter
7 @" h  r; x7 B1 d7 v% @' n
, G+ a4 ^( U3 J+ ?3 F! h/ l
je enter
% G5 q% a% w# w7 b; ^% _: A

/ v! V' h$ m2 }: ]: C' ^, Ojmp msg_loop

6 y! ?) N  o, F8 [/ Y9 u4 z. X9 C1 z7 B& z+ M4 c- `/ R# i
enter:( S0 a3 K1 Q6 B( `
: y' P/ o; |' r
call get_index

* M6 w/ i2 k, o+ g- M$ ?4 C
& s8 n! |( Q. S$ J3 m% emov ah,ch
9 \# Q- ?/ t* z* ^. @" V: c
4 M$ U# @7 r8 i' E$ s
mov al,INDEX
% e% e- ?: z- K+ }( f  j

3 K3 {. M4 Q$ I* Q; xcall write_cmos

, n! f! n$ }9 v. t$ o8 g/ }+ Y7 ?

, O; ~" t  l' Dmsg_loop:: s6 o$ G# ]/ Y; X( q
3 R4 Q+ K# K/ c  I

  p" w, W* N/ Njmp mainloop

5 y3 F7 J' k0 }% l" d
! j- h0 F& X  H
4 h$ b7 ?4 d2 ?" X" g2 ~; }. Q7 ~
up:
4 \( X5 C# d; D: [- i  M% u' s" D, e9 e) t2 j
cmp ROW,MINROW

- d; z+ z, n! {) F' A- g$ ]' r3 n& P. k/ i# b4 o$ V; |
jbe roll_up

$ Y) K# u0 A2 \3 H* T1 [& s2 P  l8 O- b6 T
dec ROW

$ ~+ v) n0 k  A1 A3 Q: e
( k% U: k1 o( k6 h. x  u* J9 Djmp bypass_up

! d- B" e- ~$ R! o: f
8 U: F# p- i' ^/ t9 f) Oroll_up:. u& i# @; D  q% [; I

' R! {/ `6 t( Y# a+ Omov ROW,MAXROW

& L- w% w+ c% @" q
) d' U, a+ j1 B# R) V7 H8 I& q, xbypass_up:
0 P9 c4 |! k0 @# u
. e, Y% |( y6 H& _4 k  `5 d- r  n/ Tcall set_cursor
4 _! i+ V8 B! c2 X0 u8 m2 i

% x* {: J; V9 u; w8 Q- S( [  pjmp mainloop

! C2 J  `4 E# f1 W
8 `8 a, B# B3 ^- m# m5 vdown:* A% S% a2 u/ w) D' J. u& |1 `
7 A' c& n0 l, u3 X5 d
cmp ROW,MAXROW
- |4 A5 ?7 f0 H0 E$ T, J$ v

' M! @( w, x4 }+ c0 }# M1 r( Z- G' Bjae roll_down

1 a3 ?. k5 ~8 G- u' L# I
9 c0 i" G: a, }2 s: [2 xinc ROW

; e  {! D  b1 z+ R# x  g
& B' o  R$ S2 b  `jmp bypass_down
! @/ \% G* @2 y: W% g. [& k
( L9 P( C; r3 N  p9 V8 f5 W
roll_down:) f: U( U1 v, n

' b) o" m; ^( ]2 [7 v1 B$ kmov ROW,MINROW

, ?* z$ n4 |6 A5 O( R4 i/ K
) p: U  n7 ~  I1 j' s7 B, Vbypass_down:
# }6 y" @9 r( F9 }+ k5 {
; A$ z# v- N4 E+ A$ icall  set_cursor
; e$ W0 q  D+ l% q2 L

* b$ f8 [: E: ]+ Cjmp mainloop

/ q; ]8 }8 u$ [+ `. t
* E2 F$ |- ]6 _. ~; F; f' Sleft:
' b* I8 I6 q7 `2 u3 Z: S: G3 ?7 x$ ]2 z3 t( o4 d& p8 ?
cmp COL,MINCOL
0 G+ r; f( A3 U0 _3 ~  ~& a

: ?' X2 v$ o' z, F. p9 J3 Gjbe roll_left

) W" w+ B+ \$ g; j, N. p
  U( R- g  B# lsub COL,3
# M, _  }7 n5 h+ n* D& |
1 ]  i7 f  S) t: E$ c1 B
jmp bypass_left

# ~6 Y+ @( {% m7 @! }0 a5 K% j$ e# D" {# y
roll_left:5 d6 d+ ?% ^6 v. E& x3 a9 ?
( k  o$ S9 ^% ]3 ?( F
mov COL,MAXCOL
/ W  ~) T# J; A3 D( z, X4 @
$ e: ?0 n% D9 r) u
bypass_left:
! k7 w  m, ~( Y5 N3 a2 s
; c) B! g* h  P; ~, ~% }call set_cursor

$ p( p- K6 w" J0 ^1 S
% m- U$ ]  k' Y2 [* n! Fjmp mainloop

  E3 f' c2 [" E9 ?5 Y. f& H! g  I: l6 X* P2 H# b( v" x
right:0 T* O7 F' ]5 u( ]# w6 f- c' o$ P

" Z2 b3 |: |' v9 jcmp COL,MAXCOL

& z! p$ S0 V: A1 v& _( C$ L! a
1 q; ]9 b( o5 X) ^* G2 k( cjae roll_right
% {$ E) l6 }3 p; a7 A( ?& c% r1 V4 _
2 o8 L- R1 n6 _- o
add COL,3
7 o: D: W7 R* Q; y6 t( G$ J
5 j9 e4 Y; z( z. h. L5 j9 u3 v
jmp bypass_right
7 |% x9 G! w/ b$ `& M( N& K/ L
- {. o0 i' c+ Q) a. A
roll_right:
, M9 U: ~+ H1 D( y
/ f6 u' ]- [0 G2 U* H' qmovCOL,MINCOL
  v/ `1 b9 X: v# T; Z
0 d$ G% T: \- q  \
bypass_right:7 z1 E6 N0 ~/ }  q/ V

7 h% a+ y" z# i# O+ acall set_cursor

+ [1 o7 i7 r# k; g. x
3 q$ \0 X6 x1 g4 m6 tjmp mainloop
. M2 F1 `3 o2 c% n8 V

8 v- D2 E# s  o3 }3 v; x9 f5 N
- D( h3 _; V' E, O
exit:
- F) [& R" G! c5 ~
- O/ f+ v, s* M  @- L, Pcallclr_screen
9 r4 M& r: n3 b' M; U. L
* C7 M# B  l$ A% P5 p
movax,4c00h
( X: ?5 ]( x7 n: ~9 _8 S
, G; }( _; ]1 Y! F$ n" n) ]' _
int  21h
8 j$ v7 n( c! a5 _& Y4 r! x
. {4 x7 \# Q. g# Q$ w' c
以上就是cmosdump.exe的核心架构J,完成以后觉得使用asm好别扭啊,可能是c/c++写的太多了,有点适应不过来了,以后还是要多写asm,增强驾驭asm的能力,让我的asmc/c++一样熟练。最后开放cmosdump.exe完整的source code供有兴趣的朋友参考,source code和可执行文件在附件下载4 W$ O# q& _9 b- _! y; O4 e% E
Enjoy it) u4 m, n! y4 f. o4 m1 F
' _6 P7 y$ n" O# |! ~

+ ]& s1 L3 O; dThat’s all!
# \' s# Z. P2 L# E- K$ I" p% {, {* c
Peter
) t# F, L& j9 L! x% f( R
5 t* m9 O4 _4 r: J2 g+ z5 x( k[ 本帖最后由 peterhu 于 2009-7-6 16:17 编辑 ]

cmosdump.rar

3.56 KB, 下载次数: 566

回复

使用道具 举报

 楼主| 发表于 2009-7-6 16:26:28 | 显示全部楼层
戏说BIOSKeyboard

! ?3 I! j5 r& D: D: K9 R  p

# g- u* P2 U: n6 {1. Introduction
( K1 C+ l  l' u4 q; B/ |; x% c( |, U0 F! u* N

6 v7 u. ], G9 g
: x) M% t9 R, u+ X' C! {4 Q; K$ l$ l
Keyboard System看起来好像挺简单,但事实上它远比想象中的复杂,硬件上Keyboard System需要两颗cpu完成key stroke的转换以及和Host的通信过程,一颗用于处理keyboardmake&break过程,另一颗作为keyboard controllerhost交换信息。一次按键过程在软件的层面上也要经过多次转化才能成为最终被用户理解的ASCII码。这个过程通常需要经历ma=>mv=>set2=>Set1=>ASCIIKeyboard System的架构框图如下图1所示:
2 Q% L3 q0 p- F1 D* a
/ K. b0 F9 I  Y4 Y2 L9 e2 J      ks1.jpg   l% Y( m  Y3 F0 }  M
这时MB中常见的架构,在NB中这部分已经被放入EC之中成为EC的一个部分KBC,但是工作原理依旧如此。5 t! `, `0 o, N+ }
! }+ u9 U, y1 [6 K7 g$ E
2. How It Works
% T& r! T; M/ b8 |% i, X" p( T1 R0 _
( n) x5 D; E6 t那么当我们按下一个键,需要做哪些动作,才能让我们看到最终的字符呢?
# X' n. p) b( S1 _) E- H
听我慢慢道来。当我们按下一个键‘k’时(make),键盘内部的8031会将kset2 scan code2Ch
, v  Q; Y2 s' }. d: q: V- ]- w通过上图1的串行连接送给80428042
查一张set2set1的表将该set2 scan code转成 set1的‘14h’,而且8042会引发IRQ1通知host,表示有按键事件发生。Host将会读取60Port获取set1scancode14h’,而后host会将‘14h’转化为ASCII码‘k’,当我们松开一个键时过程同按下比较像了,不过键盘内部的8031会先送‘F0h’,然后再送‘2Ch’给80428042看到‘f0h’会将Set1的‘14h’的bit7设置为194h,以表示这是一个breakHost端也会收到中断IRQ1,可是host通常不处理break codeMake&Break
$ U  n: o7 N! u/ K' t. b0 `

# v6 p9 k; w1 `* S) ykey也被称之为通码和断码。最终host会将set1以及ASCII码放在BDA 之中。Host端对于键盘系统处理分为以下几类:a.字符键b.功能键c.控制d.双态键e.特殊功能键,对于这几种不同按键host处理方式也会有所不同对于c&d host会在BDA中置flag;对于a host会保存set1ASCII码在BDA之中(大小写根据控制键的flag确定);b会影响到set1的值;对于e host可能会通过中断调用相关的function$ z1 n5 ^0 C8 W, d, p/ t* m2 X
下图2显示host的处理流程:
0 u4 y9 `4 u! J2 q+ V7 }9 O          ks2.JPG 4 M$ C" U. h4 M# p4 g  ]5 ~
) X/ f$ N  t6 x( n6 L  p% ^
接下来我将分别用CASM演示BIOS处理keyboard system的大致过程代码的原理是通过hook int9接管BIOS的中断处理过程,然后读取EC6064 port获得kbcdatastatus,并转为ASCII码显示出来,有一个要注意的地方就是EOIEOI是特指8259或者8259兼容设备的中断清除指令。需要在中断服务程序结束之前向8259发送EOI指令,如果在中断程序一开始就发送EOI指令的话,中断服务程序一旦比较大,运行时间较长,可能会产生中断嵌套,严重会造成死机。如果中断服务程序结束之后还没有发送EOI指令的话,那么以后将屏蔽该IRQ以及优先级低于该IRQ的所有中断。我最初就没有送EOI,害得我调试了好久。
1 U* i6 `4 L- k7 AC代码如下所示:
  P1 n$ q- v# M5 f2 g
7 R8 A3 A! j3 O( |( m9 O#include <dos.h>
" k1 @* z0 l; p#include <graphics.h>( X( N2 f. |5 u' Y* |
#include <stdlib.h>8 J" I, F% P6 d; ^5 y
#include <stdio.h>
) p' p+ B& s7 ^3 h8 i#include <conio.h>% Y- ?. W) N) V; y, k( @: E
#include <bios.h>
* Q+ _! c. e- E: a% L- _( u3 @" E* z9 z& w; j
void interrupt new_int9_handler(); /* interrupt prototype */
1 @0 h, S5 V  V, Dvoid interrupt (*old_int9_handler)(); /* interrupt function pointer */
7 ^. W7 ]; g! A* X6 h% Qunsigned char ESC_Press_Flag = 0;2 y, u2 b# q( a$ _. k' r2 R
unsigned char fifo[0x10]={0};
3 y% c$ F' I2 u9 @! eunsigned char start=0;
# R" i8 Q. E- K9 m$ z3 M- w0 W# p. N  A0 junsigned char stop=0;# W: B! Y' o" {! n' `7 r0 g
& u+ m$ L1 S* E( c. e0 }: y+ Q7 i  l
int main(void)$ P8 H9 V! N% l5 y( [( d
{# N* T& y5 Q) g. O" j# I3 F
0 o4 ~; a3 q% w3 C
printf(
"Used to test keyboard set1 scancode\n");

; l$ T# w5 `( P/ `# O& u
6 C) @0 K" ^) D* ^+ [, yprintf(
"@author:peterhu\t\t@Version 1.0\n");
- ^' T1 e$ `1 t
! i+ ~% c& [( j& J6 d) Yprintf(
"Copyright(C) LGPL\t[ESC] to Quit\n");

8 ?; d8 L1 ?' e2 |$ g  _
9 p! e1 k4 x7 s4 P: T          /* store old interrupt vector *// |( B4 p& `( w' j; F9 {
3 _) \% k$ i- u$ W( H
old_int9_handler = getvect(9);
6 ~, t! d- b4 r
          /* set up new interrupt handler */1 G5 s. W; O/ C1 w3 \  f
+ @" }) h! M' d0 S* N7 E
setvect(0x09,new_int9_handler);
2 w* \9 m  P) h7 f

8 X: t0 k" {  N0 i5 [& |  Q          while(1)2 R0 T4 `: X* ?% u4 G4 G
. y% n  I7 j6 |- a4 ?$ N
{

1 ?! @" B) h! D8 {: Z2 X, ]) }& G# z9 m                   if(ESC_Press_Flag)) z, P) a9 Z8 V5 [( y4 V
                             break;
% Y' {- p) P2 [: ~9 z
' P; J+ X2 I& G4 h. O' a                   while(stop != start)( ]- Z# q* H5 e+ w% F
# f  f, L( G+ y  f" U$ ]0 [& r* w
{

' P9 {( N8 @! j/ ?& b! ~1 K- W
6 v: P$ ?( n( M; m# @& e' j' o  c) tprintf(
"[%.2x],",fifo[stop]);

- g2 J* Z5 M2 a: O1 Z& l5 N1 n  c. G$ x+ j
stop = (++stop)%0x10;
, J7 X8 r) e( q8 v8 h3 ~
! z$ q! ?, R6 e
}

3 Q+ x4 ^& v  `9 h3 Y( i4 n' h3 }1 k$ P
}

1 \, b6 k8 I9 D
9 u) d6 [- E: G) Y; b; @8 ?/ B' j' ~) V% z5 z, F/ D  [' E
setvect(0x09,old_int9_handler);
/ E/ ?/ ~* D. U3 w; y3 q
+ V" I; f( i5 A* m8 ]
clrscr();

9 I; H  E7 T; p( j$ v7 C: }          return 1;
) E) e( u/ Q6 d$ ~( ~}/ v8 E9 A- _, |! W
# x8 u6 t& a6 p" x6 e3 x
void interrupt new_int9_handler()
+ D3 B2 |' F4 j% e3 [{- `) h  }: e3 p
          unsigned char status;6 X: ~8 k4 g, L  _% {$ r
          unsigned char set1;1 C7 @$ t1 `. [. w3 K+ }" b

9 C" N6 q& X7 ^disable();
2 ]' X) y2 q5 R" _
; u" @0 S& F0 @5 i, v7 T
1 i; g" X" T) ^$ q  u' T/ d3 O
status = inportb(0x64);
1 y' K5 L! D  U& d$ @5 j
          if(status & 0x01)
# h) @$ ^  k) n1 J
- x% F- r4 ?; Z9 w, y$ {' W# N{

$ n- m, a% H" B4 E; C8 {  w$ J
' A7 w' {4 y* {: X  O$ t! K% Wset1 = inport(0x60);

7 P: t# w9 ~/ {9 }6 F2 D7 I1 N: [( u, f2 F6 Q: W
fifo[start] = set1;
. a, b9 ?( n# r# ^

+ a1 z: d- k5 ~& astart = (++start) % 0x10;
) d) j4 H! a6 x% v6 E
                   if(set1 == 0x01)( p' b' ^: k* }8 [  d1 p& w8 h; w& A
1 L" C3 j6 h/ ]3 \6 Y
+ G0 j3 c0 k! g4 Q
ESC_Press_Flag = 1;

7 T- p9 n% m& _# n9 K# y
+ e- N% P6 f& B3 S/ k' c: ?. d# i+ M; s4 R
3 t+ l3 h2 @3 m2 A7 [
//printf("[%.2x],",set1);
" k! @/ d, Q8 X. g" h
  J2 j6 ^' h0 b; b
}

9 A  u) o% S+ b. i" Y4 A* P8 e  q, b9 \* B  r
outportb(0x20,0x20);

% R0 A1 k) R' t$ ~6 Y0 h4 m$ y% Q1 ]4 h
; B' c: p+ \- G( g" \4 n
enable();

$ [- C' L' H6 H$ G}
0 e8 v' w% h3 N( Q! @  E# B3 j* p, A7 J  A
ASM代码如下所示:
! Q/ ^  d' t, Pdata segment
( k# }" V- \% l) h1 n! ^' v
( s' Q: ^# i7 y" G
SET1 db 0
! ~1 q0 K5 C' k+ `
H2A   db [','0','0',']','$'

+ T7 k# U5 m# l4 r" e7 @3 }+ iMSG  db 'Used to test keyboard set1 scancode',0Ah,0Dh,'@author:peterhu',09h,09h,'@Version  

" L) R# f2 m1 M  p2 u              1.0',0Ah,0Dh,'Copyright(C) LGPL',09h,'[ESC] to Quit',0Ah,0Dh,'$'
$ `- N1 Z9 b9 s. S1 K' [OLDINT9 dd 0) {1 r$ a6 f: p( s
ESCPR db 0
: M4 m( o1 q" r0 n: t, N( j. M
3 p$ g! C. S# w  w. }9 \data ends
$ G. |7 R1 z; X& P: p: H. g6 z, o- b3 p4 I  E0 F7 _* k
code segment. ~) g! v! i; x8 P  {  A& b1 c
! X0 _4 d5 d: h% V2 d$ D- ]! L% K" E
assume cs:code,ds:data7 N3 d6 }- l& s$ L% V

9 R* I! {$ A8 r# _* e$ y# P
start:& i5 K2 j7 k& A' Q: p; G. u
. Z1 G7 `9 K* \, F5 C" d2 P7 @
& y0 i7 |2 O, w7 _7 K0 O- j, V
mov ax,data

' `! _/ M6 n0 D9 |2 |* T$ Z6 ~
7 G: l1 P0 m- F0 e) ]6 R# omov ds,ax
) L4 G5 Q- r# B! A7 g, f8 o
- ?: ^9 t' A# `5 j
mov ax,1ch
! Z6 d( H" N& z! l
" x/ c8 Y1 Q& G7 V
call hex2asi

' v& [3 A+ Q/ N) w# E
# A- k2 `& |! Bcall show_set1
/ j9 Y7 a  z) x, p3 `0 m* D& ?

' J4 V* |; w: N1 M) Ycall show_title

0 z/ q* h* w/ u+ H8 m! M1 C# i) [2 N( T- V0 b$ B- M8 b3 B- a$ P4 a2 g
call back_int9

4 F$ E" ^3 c: t$ _, g0 n/ F$ ~0 j9 i
call install_int9
, p/ L& p8 R. u2 c
  F. d8 N( H3 \) L) R' i% x
l0:' S  i6 A) M6 R: R
6 a8 P' V6 Y$ y# w, U' I% w# R9 F
xor cx,cx
) N: b! L) h4 P4 d4 Y1 l
4 j; a9 y# {2 i- O4 i& @! ?
mov cl,SET1
# X$ W/ L. }$ [5 h- c) a6 n% K

  Z- ^% R; q1 wjcxz nokey
- _* U  ~2 _  J( K" y" s# l
/ ?/ o! A# p7 f# C4 D" C
xor ax,ax

* ~, S# R: r4 A: Y8 l0 j  N
6 D2 E! P; f2 ^5 Pmov al,SET1

' V: E+ U- l3 l4 o! S
/ p- W6 t* E. g3 Ucall hex2asi
% N3 f  A) X; n5 S

" g% c2 U8 Y; k: @- K$ }call show_set1

. Q, `, b/ ~& {0 n
( y  }9 Q5 B! Y; G) c6 zmov SET1,00h

5 t6 R8 ]; c! k& y. c# V! s# U: P2 {, X) v! z2 w4 {& w! g7 Z
nokey:6 _, p1 ]3 @* f1 y, ]

* e' y4 x/ {1 ?1 Lmov cl,ESCPR
* v- I; c+ _" w& [' n

; R# c% {7 x3 d) bjcxz l0

0 d9 _6 i8 N9 `! M5 q$ g; S% ~2 k
! j& Y, w7 R7 `: N3 t$ j" c+ N
call restore_int9! A$ B( S$ R0 \& ~# i# `6 _: P
% S. Z4 B  T8 ?3 A4 @  I0 f, H
mov ax,4c00h/ y4 x0 n: m3 V! `( @: N$ ?
* k" i* u" l  ~* S2 u# {2 E
int 21h

. J" W- G5 A! }8 J7 v9 N6 ?* v* Q0 `( m% U
;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
" w# J5 ?5 g2 ];;sub routine for store and show set1 scancode 7 ?( V+ c4 E. ?. H5 P( }1 N
;;for keybord strok maybe something error :/
$ \* f# Q/ L( b; M% y;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
8 p3 ?5 @( t& e" e/ ?2 C2 [
3 A/ P+ k2 c$ e2 |2 iint9_handler:6 {* Q  W$ p7 ]2 Q, r
cli% e+ A! f9 v' {- P
7 x4 m" U9 D$ U3 y5 Z; R' l5 ~
in al,64h
2 L4 L, o% ?" Y4 f9 G4 f2 n# Q& z9 J% }2 n  i. G; k
and al,01h
. J" `. v! g( d2 b

5 f0 f7 N; S  _cmp al,01h
' h" i% _6 j- L! J1 k
% m' I0 O4 x% j- C, [5 Z
jne exit9

9 p: L5 ^- }  G2 D3 A4 i7 R4 p( U
in al,60h

3 a! T  Q# }2 m. R! C6 i
& ^( x7 l! S# L0 i$ u% wmov ah,00h

1 H# v3 F: D5 N, \: V& H9 h& {# W: V/ P+ _1 t
cmp al,01h

2 ~& X2 l  q$ D. O. b. s# |( N& w  N: s: r+ G$ ?- [6 r' P
je escp
5 O, O- a# O4 k
7 w9 e' m  k; U3 R5 L. v& ?
jmp exit9
1 Q% m) b  q/ P: e( [. K) o7 q' Z
% {. G4 M: Q9 r! Y7 n2 h2 T0 O
escp:+ _" T+ O9 i) ?. s
2 ~. z1 g/ Z6 O, f* z
mov ESCPR,01h

, |' T; ], ~" ]% s2 N* f  q8 z8 Q8 P) i' X  B
exit9:
6 T# V$ \: ^' L; T# v
+ U, ^' a9 h# c. ~5 ~mov SET1,al
% z, |6 J2 s$ I% l' z
2 i6 C3 Z  ]# i! Q5 [
mov al,20h

, E' e. @5 p9 K3 O. I* R, g/ O0 B3 S; T8 M1 p* l! T
out 20h,al
2 ~, s$ x2 H( A( J3 z

: M! g3 B9 p, `: C- Nsti

. O1 C  E1 `9 [/ e5 s% s3 Z1 U. G+ d7 p) n4 f# ?  ?
iret
3 a1 V8 h6 H6 A; [* `
) z* v. _9 H, n

6 w7 ^  u/ A, w* n9 e. `back_int9:. o% L  @' _4 Q- J+ G9 m

& L6 r9 F, t6 Y/ ^  t1 E! [
! ^: a+ `. W7 b. r1 K
push ax+ O/ _4 A4 Q: a. d& c; Z

2 ~, u! c' v, d/ ^! C7 sxor ax,ax# Q, q2 v1 u5 y6 i1 d& o

$ L- e0 R5 U) j' U  }' o. Nmov es,ax

& [5 T( t, d+ N# T
6 X5 A3 d. P  S* ^mov ax,es:[24h]

! j  J, Q1 Q" v0 Q" N" ]3 S. l+ b* D* ^. q" i
mov word ptr OLDINT9,ax

  d9 W/ X1 U) ^/ M4 v% H# Q, Y+ u$ H
mov ax,es:[26h]
$ \8 _7 W+ x( E1 Q& K* `/ V5 w

) F: a* L6 L4 Z9 Fmov word ptr OLDINT9+2,ax
, c+ G9 `+ O! J

# Z2 }2 ^. N: q& i/ Ipop ax

& p- G' M9 K% {+ X" ~* D1 O$ e4 T( n! h$ o3 |
ret

, X( q; X1 \% J3 I. ]  ?, G. W5 I6 S3 m
restore_int9:, V- S- L. S4 ?, s8 B; z/ b9 q% n

* r+ j) ]9 E/ C- z; u' y% i; B( v* J1 D7 L- c$ F9 y. ?
push ax' w9 }5 g7 s6 b& X0 `; K

% L/ O3 F. M% z+ P9 d# F5 kxor ax,ax
8 D5 a4 g  V& h' \& G4 I
, {2 A  u% @2 W8 \! X; f+ U
mov es,ax
# w& |! `4 W1 A* U4 q

! h' `! m  O# Z1 t' ~mov ax,es:[24h]
" N4 a8 ~0 x) F. C# }5 g/ ]
$ G5 F6 x1 s5 f! I% ~
mov ax,word ptr OLDINT9
, F" \+ Q9 B& V( S, J

" t) V* l/ P* r* p& W0 B7 pmov ax,es:[26h]
6 |! T, A1 Z3 [- S. B. Z

" x3 G( m; Y# x7 {7 Vmov ax,word ptr OLDINT9+2
  u) [% F0 p$ e3 u- [; O8 |
' ?2 y" o3 f6 p. }
pop ax

! y+ M2 X* ?3 J+ q$ E7 O& j8 b) v% n$ [, R$ w
ret

6 v, U% n. f8 C5 e( h3 u) W' E+ z
" S$ \+ ^+ \# o( K3 i9 Ninstall_int9:
' v) b8 N& Y1 ]8 g  z0 Y  q5 k7 g, A
+ M! n# M) q% i+ i0 l' Z8 spush ax
' O$ l2 N  v) q9 h2 J$ o
8 R; x% V  e$ ]& K: D
push ds

0 p4 w" H# N' c; S& m" V9 O4 J# f: a. C: B
push bx
9 Y' W7 C, T0 H9 P$ R+ c: n6 r3 ?
0 f+ v: K, O- C" T8 B
mov ax,0
+ N/ A) I% x0 u* p+ k6 @
# v, J9 I' a: lmov ds,ax
& P- |3 a2 R1 x) I/ {
; D  A' B) W3 m
mov bx,24h
7 B# K7 _0 g2 ~( `0 C

. V( `5 l: |7 }' }cli
4 K& M" h& i; Q" o' T; Q$ n" d

, T* j& U9 o! U* A7 N' Z9 Gmov word ptr[bx],offset int9_handler
1 K6 N. T; l3 _/ K+ j1 g
' f2 m8 `+ s1 w1 Q% ^mov word ptr[bx+2],seg int9_handler
9 c5 Y2 }: i1 i0 U# i' `

& [  E$ P* o4 Z/ o1 a8 Fsti
0 n7 ~% B8 F8 C$ ]& L- d! |8 w7 t

- T- |) u; f4 Ppop bx
. `8 g8 x, U* H! ~/ ?/ C* I4 Z

/ ^5 C  F# ], z: b- [7 Upop ds

; U4 w- n" a! U2 y& a0 {3 n! [- L3 X
pop ax
/ B8 e* X) p2 r9 t6 |# e/ ~- G1 q

- t( A* R6 n* o( ?$ v, J( R1 wret
) T  i! U0 X3 D  l9 T* e! \
& I4 U9 i7 k0 B5 k: q
show_title:+ p$ V1 i. E1 L- S5 ~) _

! U- U, I; m6 r1 _" Zpush dx

. [; V: `1 x' {8 }
% s" @, `! R2 e0 i& x+ {+ c% Kmov dx,offset MSG

3 f( R. _. f4 F! x  u' Z' G' o" g, \  C
mov ah,9
' U* d9 ]4 q3 m; e; `, A& y: \$ R

) i0 {) A; c+ V- k  qint 21h

8 q1 o+ K$ r( |* c2 v; N4 J* r
- w8 J4 P( R' m1 rpop dx

7 |7 e- I* ~. ?& L* S) ?: t4 d7 c; @+ h4 E/ X& G: j6 i
ret

* |3 U# a+ L- I) X5 y5 L3 f) i* `5 E
show_set1:
! H: c; Q- c' b
4 J5 D4 j+ o% @$ @: dpush
dx; S4 B5 D0 ~" M1 v4 D2 k- f& L

2 O9 a" t- V  _7 R: gmov dx,offset H2A

+ w, Q/ {, y6 R, V1 L# f
2 I; Y- c1 L( I/ Umov ah,9
9 C; _! A3 E/ i
+ e" a8 m( Q1 X8 `
int 21h
1 {9 e2 M, [. g& o4 z

5 Y8 d7 Q7 g3 }3 K) {pop dx

8 M8 D# a- A5 x9 B! i# Y
5 p+ Y; l( p2 uret

; Y" Y# @& @: l' F; D7 o% O0 o
9 d) B- [0 r! Y! ~
1 ^7 w: g3 W1 m1 ]8 {
hex2asi:
, F& T3 v! w5 j; a
: G; w# C: b5 t! Dpush dx

0 c9 f% l$ |/ }
! g5 `- o% @5 h& Y  J7 Gpush cx
4 d" v/ H  k; D9 ^% Q' k. k0 P1 ?% i
push si
: X" v7 _2 E7 K  P. Q/ K. `7 c9 j* e
; c  I2 z4 N# f5 ~8 u! D
push bx

, m" `( j) S  {+ E6 |6 O" D$ x/ `( Q9 V
mov bx,0

5 Z+ ~6 u- h' c8 X, l$ o' k% _* D
# s3 e  w3 A8 c. v* J, U8 c. |mov si,offset H2A
* \0 @8 z' q0 I
4 A- T- [$ ]! [2 _0 n3 x
mov byte ptr [si+1],'0'
* L2 O4 ^# i# p

; ^: L+ y1 A* O+ z6 _mov byte ptr [si+2],'0'
3 H2 e- O# E3 L* b" [4 J7 J7 A

- T- g8 x  K! c5 u! ~" g9 W( h; v1 k8 @2 sha1:8 V+ r! c' E6 a, s

. a8 D# D8 H( ^5 amov cx,10h

! i$ f: m2 _  O* I3 F
* Z5 M, T& }, v' t1 gmov dx,0
! r2 ~! r# c( ^/ B1 I( M2 v+ n+ O
# Y/ l2 q$ g2 d) m5 v6 G
div cx
! Y7 p. @  ]  ^0 E: f

. s4 @' V. D  i8 _% x" @4 Rmov cx,ax
/ R9 d9 p+ S$ ^  ^/ C) [
7 a3 q5 p2 }/ C
jcxz ha3

2 w1 t" d1 i6 ~$ Q& Z
; J6 A. X9 H9 b2 V/ w; lcmp dx,10d
" o; p( F: [% M

9 x- Z& N, Q2 M+ I! A% k% P3 p: _jnb ha2
- d1 M' g% K* O! T* r7 ?( c3 o6 H3 h5 \/ y

& I, J0 k' V: ]  r: Madd dx,30h+ Y: b/ `" \% a+ @

3 i- y! e$ ]4 o( A# C$ cpush dx

& z6 B, {; Q- c; }  x# ~6 V3 H
; |/ Y7 [9 M& O8 v' H2 P7 q# Zinc bx

- w6 d3 P6 F6 s3 S0 Z9 Q+ X/ a) G9 |* I) a! ^) ]- N" {
jmp short ha1

  g% D3 G6 e7 v3 f) b5 ^. G0 j, D( S4 M% m$ \' ^5 B4 I
ha2:
, R( q, X! Q. Q7 k  v" V1 H, L

$ _: C+ [0 m8 Bsub dx,10d

5 k0 b2 J: q2 \0 g# ]* Q
& m7 e! I8 B; a, ~add dx,'A'

! {% I8 ~) Z9 h, `( r9 ~
' K0 }7 D  D9 [/ m, _push dx

4 ]9 x" z+ T  H4 C/ H! n* Z, d
: D, U( I  z  f/ Rinc bx
; R$ \0 f" z; ~& O

  p$ f8 n4 y6 I7 B6 Djmp ha1
+ E$ q3 m1 \5 G: `, l( H

4 z8 c4 y' J- H+ z- B4 M) B/ kha3:
. M" ~2 F  w* w& t, N+ _
4 l; O+ `. X. K5 f# P7 Tcmp dx,10d
; f- Y; P' M- |9 m

$ f9 _" _4 y/ f- gjnb ha4

9 t4 S6 d3 y+ t4 |. k* _% E* y7 C5 [( b0 F$ h
add dx,30h
/ ~( ]5 Q; W, ^" m- S( G
3 }4 j) R! H! Z1 R: ?# `
push dx

6 o* g: U# a& }1 `
$ ^7 G3 Z, X' n0 V, ~5 rinc bx
! y; P' m* n. `9 ]) R$ S7 ^5 H" V

# L  m4 ]8 q8 Vmov cx,bx
* w0 C- q- W1 s. {, t% \. S4 K8 k
" o. }  d' t- s" J' ~- ^* K2 Z
jmp ha5

- H% z# d$ o; U7 _5 B+ v8 z5 i* p3 }' N8 S
ha4:
2 L! f8 c9 K' W, y) H
! X" I( P: O  G
sub dx,10d

1 K! |% r" M: I/ W; Z, ?' q
+ z$ b& R( i# `9 T" kadd dx,'A'
  v% K6 d5 s; r8 n. K
3 D: W; L3 D, S8 B
push dx
! P. `4 [6 k$ A

# L& q. L9 K" g, P" O) Z" finc bx

5 x+ y+ V: ^* `7 X. o$ g  N, I; J: W9 ]5 l" ?0 n- @) T9 m7 l6 p# X
mov cx,bx

9 l, R& z) i5 A: ~6 Y
' j9 X# G, ?7 \. ?# Bjmp ha5

. n8 `9 }4 r6 R3 H* h) y6 e% [( b9 B1 ^0 A( k' X
ha5:
/ Q4 F# U/ T) m, y& a3 {4 R" ipop
; [5 J$ ^. P4 w" rax

) o, Q# p# n: n8 |( n, w) ^* B  F9 g, b( T
mov [si+1],al
' |4 G5 A$ ~% @, d+ N% N

- J, W. x, Z, P( Cinc si

4 C  N7 p$ z2 S- Q
7 F' c, V4 n1 |# g% w4 yloop s4
  k9 W( q( X/ \0 o3 N! x# v
9 K* J( C  x$ f- j( ]
ok:7 R9 r4 _2 A6 b& {: m# d1 P+ b
% i/ A, X" F: `
pop bx
  G2 k0 n9 x$ w% g& A/ R: z

7 R4 b$ u; p2 ]pop si
4 P! X& I9 {6 i  v6 R/ C& K

/ G; @2 j! M* X" X# `pop cx
4 E( s/ M' t4 f- P% A: n0 }, e
) J7 q% H+ {" J9 U# H
pop dx
) c5 E" w1 M2 j  ^. \

7 N) N+ x8 |/ s- m- oret

5 ^6 b, f4 c' S4 y4 ~# U$ K/ G1 Q+ _, p8 t' C
1 |$ w) y3 H. g4 o* H1 p9 }
code ends' _1 x5 x  V: K. L& q
end start- v7 _( Q; y) I4 k( b
上述程序运行状况如下图3所示,一旦有按键动作该程序就会显示set1scancode(没有给出相应的ASCII码,凑合着用吧J)上述代码可以在附件下载: z$ Y3 U+ \7 z

% s% Z# ~4 K4 d& c" h7 J$ P6 z
2 X' r( F5 E( |" } ks3.JPG 9 |4 S- {) |9 m- |! J, _5 Z
REFF:0 C/ R: M+ s% I: C% S9 d5 l2 \
<<The Undocumented PC>>
* f8 Q6 }5 b- O9 D$ Y( ~# G, U: g0 S# m, S3 w! h* ]% L
Enjoy it!
: U1 b" z* L  k& n# p5 `. ]That’s all
6 p7 a$ O/ L- j- @; H  V
: A# d& G1 F- g& [Peter 6 Z# o3 V  k% h2 I- t

6 D" `; g' K( {! y+ N. ]& H[ 本帖最后由 peterhu 于 2009-7-6 16:36 编辑 ]

KeyboardTest.rar

2.07 KB, 下载次数: 471

回复

使用道具 举报

 楼主| 发表于 2009-7-6 16:41:36 | 显示全部楼层
戏说BIOSBeep
0 j& v2 t1 ^2 v+ \9 n4 y

/ A) ^' O% R* U5 Y/ d# n/ N0 |9 x" f1. Introduction
1 w) G0 V" Y* [2 \; I" z
. v5 `0 }4 _/ d9 D2 a: l大凡用过电脑的朋友都应该听到过BIOS的报警声,有时PC开机的时候就会听到嘀的一声,有过修理PC经验的话就更清楚了“一短内存刷新失败,二短内存校验错误,一长三短内存错误,一长八短显示错误”等等诸如此类,可能各家的BIOS定的规则不同,但目的都是通过报警音获悉系统运行状况,找出病灶对症下药(有点像中医诊断中“望闻问切”中的闻J)。

; W( h# R5 x% {! `3 k6 h# `9 t! C8 F2 B" c
2. 8253/8254 - u. E/ L* a# {+ N3 D6 ~5 z# I! ^

$ h8 U6 q  |; _/ `; SBeep声我们都听过,但是有没有想过这是怎么实现的呢?带着疑惑随我开始探索之旅。提到这个Beep,它可算是历史悠久了,追溯到IBM的第一台PC那时工程师们可能觉得pc功能太过单调枯燥,于是他们就祈求上帝给我点声音吧,于是声音就有了J。他们将一个简单的扬声器加入了最初的pc硬件之中。光有speaker肯定是不足以产生音乐的,因为音乐得有音调和节奏才能组成。虽然我不懂音乐,但是我知道一点就是声音的高低和频率有关,所以还要有能制造频率的东东这就是8253/8254。既然提到我就大致的讲讲8253/
82548253/8254是可编程的定时器,82548253的增强版本差异主要在可以外接clock频率不同,其实使用上无差了。8253有三个独立工作16位的计数器t0t1t2分别使用40h41h42h port去操纵,除此之外还有一个43h port用于设定控制字。三个计数器分别编程,但是在使用之前必须先配置控制字,控制字主要用于选定哪一个计数器,选择计数器的工作模式等。控制字的格式如下表1所示:+ [3 k3 L. A3 k3 @8 ]8 `5 I( ~

2 N# W/ O  K- g+ i3 v3 ?
Bit 02 G9 [: R3 w  n; N+ e" B
计数值格式 0表示binary1表示bcd8 I- t! M/ o1 M! j
Bit1~3
7 x% [' d' l. X" E
模式选择
: x. p8 e0 G( O& \! H
Bit4~51 M. E3 \5 B% g* l
读写指示' ~2 p( {8 S8 y
Bit6~7( D# ~! ]/ Q- B. a. g) Z
选择计数器
) @& w: @8 S; C: p$ k
) _" i5 |$ [8 Z4 ^( Q+ Z' U8 ]
             表 1
% r+ M/ N- e. N; F/ C

9 D3 T- r( K% ^+ J" \4 _* M其实这三个计数器在PC内部已经规划好了功能,基本上不需要用户参与了J
3 V$ k/ d: e6 y) Qt0:用于系统时钟提供定时基准,它的输出端与中断控制器的IRQ0相连。7 {( \& e3 I- i$ N  t$ k
t1:用于DRAM更新的信号,每隔15.2us刷新一次。
$ t; e& |! P( C6 D9 nt2:用于控制扬声器发声,作为speaker的音频频率。
- r( s3 R) b# s) J5 |# L所以我们知道t2用于提供speaker的音频频率,驱动speaker发生。这个部分早期驱动电路如下图1所示:
( s8 H4 ?* s8 t6 H
9 P' P/ T' D/ ?) s3 [
( E6 }# N2 M# ^& l* c) P6 b% O Beep1.JPG

+ f$ n/ G: m% l( U% E6 `1 }0 N由上图1我们可以看到61h PB0控制T2gate2,也就说只有将PB0 pull high T2才能工作。另外PB1T2的输出端OUT2经过一个与门运算然后再驱动speaker,所以PB1也要pull high这样T2的输出就可以操纵speaker频率了。图中的61h是没有介绍过的,那就再来聊聊61h port61hXT系统中集成在8255之中,8255是一颗可编程的外围接口芯片,61h对应8255port B,它是一个8 bit IO port,每一个bit代表的意义如下表2所示:
. V5 ~! S4 N5 }$ R; x7 K9 }! F# ?* c9 x0 P1 ^
Bit0: y  X! ?+ t# y, l+ {
t2 gate2 控制位9 H! U* M8 W( Z. G0 C& {2 j

9 s5 ~. ^) ]$ r
Bit11 h! `2 v5 J4 }8 }; q
Speaker 控制位
0 [, V3 R% Q$ x9 Z: L. ?  z+ L3 ?
Bit2
9 ]# B# K8 D7 W, M
DIP相关
+ |: q" d: K: d9 A
Bit3
1 A% J* Y$ V5 D' P: ~# H
录音马达& E' `7 R) M4 o$ ]  A( s
Bit4: @, q( G$ y3 K$ M
RAM同步更新检查位: h6 d4 l/ N  n
Bit53 _0 z3 d$ j7 ^
I/0通道检查# g" Y/ }+ f9 ^# b$ u, A
Bit6
, r& o: M. k" M1 M
Keyboard 电平控制3 n& _1 H* \0 I7 B5 K
Bit7' i% d  ?- N* ]1 \
Keyboard 始能控制' e* A$ D$ D- o3 |3 R

/ \4 Y4 X( l( l: c& O/ D4 s             表 2
- N- L4 U. P8 f7 F& ^7 S! q* y
AT以后8255已不再使用,port61h也使用别的IC代替了但是它的主要的bit功能还是保留了下来,所以仍然可以使用I/O指令读写61h port
- ]/ k5 _  e0 w7 U+ `* j3 N9 X( l7 w7 d. E, N8 a7 Q5 V8 F
3. Beep~~~~~~
' s6 u# X3 O( U
. c$ P4 @/ d  a5 d! `' g' T知道了以上的知识,我们就来写一个“一长三短的内存错误”的报警声玩玩咯J。需要做的工作有三个:a.通过操作61h port始能speaker inputt2
gate2b.操作8253控制beep音的音调;c. 音调保持一定的时间(也就是声音的长短)。我们逐个的实现上述功能。! S9 g$ z- @4 M6 Z( a* F! m
a最简单只要将61h portbit0&1 pull high/low即可始能或者禁能,代码如下所示:9 ?2 r3 A7 N7 Y
;----------------------------------------------------------------------------; c  [2 X- p5 K" Z1 g; M
: K7 i2 |4 r% C: Z) Q
;speak_set
4 F7 }. i/ v. ?- ~1 Y+ m;en/dis speaker input control&t2 gate2 control
/ ^( m, ~* m$ w6 ?;called with:cx
( N! a7 Y, v: U, s( T  k0 ^;used registers: ax
( ?# ?8 G1 Z- y. a& D$ m* R;-----------------------------------------------------------------------------/ b9 M. |2 k) @, l
speak_set proc near
1 Z- ?) z7 x( u  s2 h3 }8 K
5 [  D$ W2 x+ G3 v9 u# kpush ax
4 f. `- `; T; H% `' c0 ^# ?; ^- o; u" [
in al,61h

5 u% l# a9 T& V6 a, |% V6 ~" u( D" _
% L: ^' P: r7 \1 f! ?, p- ljcxz se_d
8 f3 G+ ]6 ?8 c( _' E1 ?. Y
$ Y7 ?$ S. @4 Y" L2 l4 H5 a
jmp se_e

) R' U4 d4 ?* H7 B% a; H/ b  o  s* {1 x( B
ss_d:
3 {: h- j7 J+ k, P6 F* r2 }$ r; X8 i0 a2 O
and al,0fch

8 i/ _/ ?1 P$ J$ v8 o: }; [( {. s( s8 I. _/ V9 o6 L
jmp se_done
, u2 e% ?+ ~& X/ T* l

- b  h% j6 K7 C( j( Y, R, _$ Mss_e:7 g/ F1 p7 G* h, ~! F9 H0 U
2 r2 U, L# o6 K. y, Y; q5 k: ?
or al,03h
+ j  K6 N1 s& }. H4 }2 m" X8 l2 }

4 G2 @  R7 k  s1 [+ B* l* ?) Lss_done:
# a% o) k% ^, Q" c% l! h+ m- t& D& H
out 61h,al
4 \3 U3 ~' W4 U  W$ S% i

" |( d" b$ }" b8 z' Ipop ax
& _2 c0 i/ F: `2 p8 Q+ r- C& g* @
. Q' {' g1 g; R# jret
) M7 v# b6 W: f" {5 v1 }
- w  L3 V! A4 |
speak_set endp, u# J+ @  e  h5 I
' {& _2 N1 ^9 C4 x# A* t/ Y( z6 g( w

$ \0 A( G7 o0 ~' V9 Jb就需要设置8253计数器2的模式工作频率,操纵8253的步骤为先向43h port选择所要使用的计数器以及工作模式参数类型等,然后再向42h port装入t2的计数初始值,代码如下所示:
9 v% k3 q% q2 j" F  V" C;----------------------------------------------------------------------------" B5 L! M3 F9 d* Q  [0 r; M

" V$ h- M5 m) [- f! @) Y;t2_set1 a. ?6 }3 D  P! j: T
;enable t2 & set work mode & out 2 frequency
" ?$ _+ u# D- Z9 {; ?;called with:di(frequency demanded)0 l; J2 f2 P. r6 J4 w. T
;used registers:ax,dx) J" V' \4 T8 x5 S$ y( ?4 g5 R
;-----------------------------------------------------------------------------7 v* h4 e6 k6 Z! [# }/ M
t2_set proc near/ V% O+ Q' R4 Y- @3 T
6 u! |" @. k6 H* V
push dx
" a3 R  M3 ?$ `- _$ d8 D5 m2 n/ |
push ax

8 G- R9 i) d$ w& D. c* i! J9 O, p% J1 q$ E% V, @% I
mov al,0b6h ;t2 lsb,msb,mode 3,binary
- s1 F0 l, v( l

3 L+ }$ y8 i$ z" n# vout 42h,al
# {# |& J/ u4 |3 k/ f! Y, {
. @* y( b, K1 W  c% C
mov dx,12h

0 ^! b6 V* v# t3 f1 H1 J6 Z0 q0 I( v
mov ax,348ch

1 g, W' h' K2 @) n3 x
, Q* E$ V1 d6 v2 `0 a* `div di

$ a, B2 a- a7 {0 G; H* T
* e: p7 D" n* E1 h( I$ O) yout 42h,al
  q+ C3 n9 C5 v! C0 U) @3 U; A: r
2 W- O' O" @2 ]1 F0 {8 @7 |3 ?, W
mov al,ah

2 Y; R! h0 y1 w4 J- ^$ F- y9 g1 A, s. g% ^" s
out 42h,al

, Q$ p; b) J$ G. B8 e# P0 z4 a8 _2 P1 C" K8 m- ]6 U  Y# `9 c
pop ax
6 L# G3 }1 s/ U! d- T* |* d) k" u- t+ ?6 E0 ?7 g: s
pop dx
/ T+ t: z! ^0 U& j3 z& O& C7 D
: K+ }% a3 k- `( g) R8 D9 M* a
ret

  ^( H" Y$ v- n: N' K7 x
2 N) A, T6 k7 r  Jt2_set endp
& C: r& q7 a! X$ [9 ~2 U% h
/ P; x% Y% N) V; Jc可以通过执行loop达到延时的目的,可是loop延时和处理器的类型频率有关,不同种类的cpu执行同样指令所需的时钟周期不同,就算相同种类但是主频不同的cpu要达到同样的延时效果计数的基准也会不同。那么有没有精确延时的方法呢?书上给出的答案是通过检测61h portbit4 ram刷新检查位,每隔15usbit会发生一次变化,所以检测它可以获得比较精确的时间(我猜测这个bit会和8253 t1同步变化,因为t1的输出脉冲用作DRAM的刷新定时信号,而该信号要求15us刷新一次)。延时的代码如下所示:
# N8 F3 g8 b6 t;----------------------------------------------------------------------------7 t8 z2 C' {3 k, \$ w3 A: Q8 ?# s% ]
! b$ r! m2 z8 R" e# g) A
;delay
0 e! f& b; D1 w: t6 B7 n;delay time base on 15us unit+ ~+ {6 \( C% ~
;called with:cx (counts of time unit)
2 ^/ G0 M0 H0 [8 u! ?2 Y;used registers:ax  N; c; D+ k) t5 j1 o
;-----------------------------------------------------------------------------
) {5 Q+ b* t, F) odelay proc near
) z; O1 {- w3 g& ]3 A) |! t! D; `. M' v& h/ M4 H1 j, P& t0 H7 [
push ax
* L1 Z$ k0 H: b% z$ x7 o: T4 n
/ n$ [0 H# v* b4 `9 Y# Z8 a6 Tdloop:
/ I6 |0 G1 G/ v4 P4 P$ b
4 d' q  `0 X5 R$ O& T- E5 x4 X5 l- nin al,61h

. k) A0 f, {( O& R2 U) }/ \  u8 n- `0 ?1 C/ t5 ^, A
and al,10h
5 n; d: ]& C- p5 }) E

% }6 B+ t8 \2 A# y0 f' |cmp al,ah

: u' A" }5 ~9 d% H7 L! U: n: a
  s1 \" `; a- _# Uje dloop

, M2 U+ X* \" y5 k' |5 q! O9 l, ^8 t' d7 e
mov ah,al

, t& K: Q9 o$ w( b" |$ t* n0 e5 A% t, L% S
loop dloop
7 A1 f8 k( M6 @

; |1 [1 n  b0 [pop ax

4 B! }  H) c0 P" _4 f! m8 Q, J9 a9 B. w. r$ z* [3 C  \
ret

. L3 H, S9 j6 k; L/ a/ o3 x$ @
0 ?( I3 F+ Z/ i4 Z/ {8 f0 {( c7 {8 ndelay endp% h/ y1 V: I, e7 @
8 W6 G2 l- h; C7 }" j3 q1 ^
以上就是beep的主要代码了,最后开放完整的source code供有兴趣的朋友参考。$ Z, t) s* e) ]& R! n8 u0 P5 {4 F
$ `3 w" s$ N8 q  I' A' i
REFF:
2 }: Q- j+ k2 I- q& x
. u! o3 p  X& p1 T* l: L) {
5 T5 g" Q: w1 r3 ]! g2 Q' I
PC硬體元件控制詳解
! p* m. @4 A4 k' y; b9 w# M! s3 M9 R$ G9 W
IBM-PC汇编语言程序设计》

- M# Z- N  \; O
$ I0 e% A1 D- J0 i/ ~
$ d1 M! `' ]  E! X* s
- \8 K5 ^# c0 f% o
Enjoy it
5 G4 }( r, C: D; d6 j, }: W/ f" c: K  p6 _9 T. q

. S5 H' M! U! DThat’s all!. o  I0 Q' E& [6 ^
" D4 s# L) N' d3 ?5 S& o
Peter 9 U# M8 g8 Z% M" I4 {. f
# p- V  s- v7 h% B. v
[ 本帖最后由 peterhu 于 2009-7-6 16:47 编辑 ]

beep.rar

945 Bytes, 下载次数: 468

回复

使用道具 举报

 楼主| 发表于 2009-7-6 16:50:33 | 显示全部楼层
戏说BIOSPCI Scan

  s# }& |. f+ x* y  b% q5 W

( ^6 H3 G! M0 c. z& O& o1. Introduction
# ]8 J2 `+ }: W8 Z3 r& v& C" ~7 Z# `: R9 R
PCIintel公司在1990年前后开发的,后续经过若干年的发展以及标准化,它已然成为server&pc上的标准总线。PCI以其出色的设计以及不错的通信速率在计算机领域攻城掠地,不断的取代诸如:
MCA,ISA,# n" B" Z4 D0 h5 o! h0 P7 w
EISA,VESA,NuBus等传统总线。PCI相对于传统总线有非常多的优点,如:1.它是数据总线和地址总线是分时复用的,这样减少了pin脚节省了空间,而且这样也可以方便实现突发式数据传输。2.它是即插即用的(plug & play),当device插入系统时,系统会自动对device进行资源分配并加载对应driver,而传统的ISA device则需要做复杂的手工配置。3.中断共享,传统的总线有一个致命的缺陷就是它们是中断独占的,本来系统的中断就非常紧缺所以增加新的device会出现中断不够使用的麻烦,而pci irq routing机制使得不同的device irq共用成为现实。可是技术的发展总是长江后浪推前浪,前浪死在沙滩上J! PCI又逐渐被更好的总线PCIE所取代渐渐退出PC的历史舞台,后续我会再去研究一下PCIE
5 a0 H9 G  t5 |7 {% o! ~# i- R
# u" Q! ~. k* b* w2. PCI Arch
; H1 b! Y9 b; z$ L1 n9 Q1 \& t! v% ?' \
可能是软体背景的原因,因此我看PCI spec也会习惯性的使用软件设计的视角去理解PCI的设计(我觉得有关设计、架构的理论应该是相通的,正如软件中经典的design pattern的思想来源于建筑学一样)。我的视角里PCI同经典的接口编程或者插件式设计非常接近。接口本质上是一组规则的集合它是对同类事物行为上的表示,它的主要目标是实现相同类别的不同对象行为上的多态性。面向接口的编程是OO思想的精髓所在。它的好处体现在哪里呢?首先它增强了系统的灵活性,只要遵循接口定义的规则,系统的底层实现部分就可以灵活的替换、扩充如:PCI总线定出了设备的统一的硬件接口,这样遵循该接口pci device就可以方便的扩展入系统;另外相同的接口可以接入不同厂家的设备就像同样的sata接口可以接三星的光驱也可以接LG的。其次规则给出以后,实现该接口的部件就会有共通的接口但是不同的实现,如此系统端就可以通过接口灵活实现对部件的操作配置。PCI定义出了三种规格的配置空间,根据配置空间提供的信息系统端可以方便的识别设备的种类,功能甚至于厂商和版本号,获得非常丰富的系统端知识;而且该功能也使得设备可以动态的配置资源进而能够做到plug & play
0 w5 Q- T! x3 @  z

; a5 o% ~+ a. H7 O: x% J3. PCI Scan" g5 g# T1 a0 L4 \/ C

" j" J  k, a! M: l! P- v3 T: ~7 P. z+ }
PCI Configuration Space是大小为256字节的一块空间,它由headerdevice specific两个部分组成,其中header部分是固定的而device specific
部分则是与device相关的,不同的device会有不同的layout。配置空间被用于配置,初始化以及灾难性错误处理的功能。下图1type 00h Configuration Space Header- e. Y& o; w1 G: H5 r) B

4 }% F; s- I% y pci1.JPG 4 M+ J0 g. ^7 [: z
. ]% f+ G$ B3 e+ O5 f
1

; i& L3 O6 ~2 @PCI Scan的重要任务就是读出该256bytes 配置空间,那么如何读取这部分的信息呢? 有下述两种方法:
! [5 E3 u3 I$ j8 D8 M1.使用0CF8-0CFB, 0CFC这两组IO port存取PCI Configuration Space总线号、设备号、功能号和寄存器号组合成一个双字送到配置地址端口(CF8H-CFBH),然后读写配置数据端口 (CFCH)即可获得配置空间的数据,下图2是配置地址寄存器的格式定义:
: [- `) b6 }; X) D3 a+ v1 X3 |! [3 E+ B% k; t9 {  g
pci2.JPG 9 ?4 _4 u6 U/ R( }9 W5 G! R
8 M- e- S, U# F5 S8 H
2

' D6 @' x& Y9 l: F8 v$ k! [4 l: b所以我们先要build一个config-address然后再去透过端口存取配置空间。
9 s2 Y8 v, d9 R$ C( R! W下述代码用于build config-address, |" O( X% ^1 p7 v' W8 ^; h- t; s7 s
;----------------------------------------------------------------------------3 t% W, V% g0 t0 n
;build _pci_cfg_add:
  b5 T  J; d7 L1 `4 D, m: n7 E
;build pci config address
7 S$ T8 V0 Y7 j
;used registers:eax,ebx
% }' A' ?6 ?1 Q1 e;-----------------------------------------------------------------------------
% g# c; S) {' V4 gbuild_pci_cfg_add proc near
0 l  R. m. m4 Y2 G4 m( J* l3 q2 }) D" W' N
push eax

) q  }' [1 w6 x& x8 d, i9 V: e
7 }5 W1 i% C0 A  @4 ?push ebx
. t) Z, ]: {! M- x

; k+ K$ B; w; L4 a2 S/ ixor eax,eax
. Z3 {( k) ~- k- T1 ]  M( P7 y# [" G' K% n( f- H% m3 h
xor ebx,ebx

( {9 L9 V9 m' d% x* W
+ Z7 Z0 x/ ^) M( k/ D+ I, _( bmov PCI_CFG_ADDRESS,80000000h

+ }5 v: M' {8 w4 b
) R7 Q' T. A3 E" zmov al,PCI_BUS_NUM
( U- R+ e* H1 |

& H- v( M3 u  U& u) g7 s5 ishl ax,08h
8 j' n# c) a* @$ {$ H, B( Z
$ M: R" h7 `4 b" z" w
mov bl,PCI_DEV_NUM

) W5 l3 J5 x- u- p* K$ e1 T( |& ^- h0 {6 j& V8 ]0 [
shl bx,03h
2 m& l& ~' m( O* W' K4 x7 A
( G8 s" w: a. v' `
or ax,bx
# \! T% `" i- G- u; t% S
1 n2 z6 Y' I+ [4 j
or al,PCI_FUN_NUM

7 `! I- B0 B5 Y7 N' J. q
' H, Z" c. _8 Y: J# c
1 z# I% w) k5 }. O# u6 ]! ]4 Pshl eax,08h
0 u" I( d, g0 E7 w  L$ o1 t
  ~3 p" t' W0 _& N; _) E
or PCI_CFG_ADDRESS,eax
$ j9 G& M+ E% t9 g( X" f
# C5 O) n3 G9 c! I* _: c4 B; U
pop ebx; D2 D+ r2 F6 a# S1 v" w

9 c1 C- A0 l: R) \; jpop eax
5 z( R; u' T1 z5 l7 H7 E

: N! S% R9 A& j& D) v0 `ret
4 P8 Z! T; ~5 b; N! v4 f3 O
: D- g* S3 k  k' Y+ a5 [& q7 M& S& w
build_pci_cfg_add endp. ^* D# N( ~. l# w# B$ ]* ]& W1 I

5 ]; R8 X9 s7 Q6 F2 v
- m! t1 Q  d* `! P# W' }$ D$ C6 C2 _config-address准备好以后接下来就是透过IO port读取pci configuration space了,下述代码演示读取的过程:
0 W  z5 h* n) W( ^;----------------------------------------------------------------------------
- x9 j$ Z1 H* p$ z
;read_cfg_space! F  B) o; Z3 w* [8 O& v  X
;read pci config space use io port
$ ^9 o- B5 Q& [' i: `6 H
;Called with:NULL
5 i# ?$ F/ H5 @8 Y$ S! K;used registers:eax,edx
2 X  ]- z$ r  M; L;returned regs:eax
  `( f3 w" w' R+ ?6 p* u/ @;-----------------------------------------------------------------------------* u3 X1 }/ M" j: a* H+ U1 b; J
read_cfg_space proc near( A) ~4 {. @3 {/ B4 P

1 L, y4 {  G4 \) Z1 Qmov eax,PCI_CFG_ADDRESS

. D% B  v9 b2 g4 Z
9 e" D- d) }9 T; ~or eax,edx
+ N+ z' N3 Y" W5 I
& G5 B3 {0 y& {: `# J8 S* r
mov dx,PCI_CFG_APORT

4 }% ?/ x+ v% ~! y2 w7 o0 T5 O7 ?! \
out dx,eax
: Z' u' {+ v  [5 F  e% x4 B9 S: M

6 h0 y4 D5 J# d2 `1 omov dx,PCI_CFG_DPORT

. x3 A% N6 O# o1 V
& L; P! U+ n+ G! R$ ?in eax,dx
+ x3 @$ d" r! f# k
6 [& ]* v  o/ H# o' |- s2 m: D
ret
2 U9 N- D. Y- ~0 W3 _9 ~

) m& \7 ^, D8 q/ |& e9 j: c4 C+ \read_cfg_space endp" I2 i& s3 |8 D- V7 L
6 k6 K- t- V. A' y

7 x) m" e$ A5 `: \理论上PCI bus支持256条总线,每条总线支持32device,每个device又支持8function,所以我们组合出上面所有的可能就可以遍历出所有的PCI 设备了。可是实际上PC上面PCI 总线通常只有1条,最多也不会超过四条所以我们只扫4条总线就可以了,不用做太多的无用功。有了前面的准备,我们就来实现一个类似RU中的PCI scan吧,下图3就是我写的pciscan运行的状况了:4 _, ]+ ^0 D' n  G- W' ~
  H! h' H8 z4 d/ k& M& f* C
       pci3.JPG                                        8 s9 @! M! X* t+ ~/ P

3 r# ~) M* Q3 a" E' } 3

+ @6 [" R2 {! r9 p1 K在该界面下按下esc就会退出该程序;移动↑↓键就可以选中device,然后敲enter就会看到该deviceConfiguration space 如下图4所示1 O& E( h& K* G- M# }& S6 Y0 g
pci4.JPG
: @* f0 {9 e5 z" ~2 F
7 S" f+ z1 }) t# W$ H, B3 K5 ^9 `) D1 o' k+ {
4

- M+ @3 f5 Q. \! h! U0 i5 N当前界面下如果想返回到上一个界面只需要按下F6就会回到图3的界面了。* G) ?3 C/ v* v5 b% N4 ?6 U

$ n0 k4 l7 G& C/ P: t2. Call PCI BIOS int1A同样也可以获取PCI device的信息。其中AH=B1hAL=function id所有的function id如下所示:% P2 t. l( B( Q8 u$ b
01h: INSTALLATION CHECK
5 g/ P  ~( y- P+ \6 R$ r0 b' n9 U02h: FIND PCI DEVICE
: w% Q/ J  s  E. F9 T& H6 ^- r03h: FIND PCI CLASS CODE% K$ K6 A: K6 A2 V8 x
06h: PCI BUS-SPECIFIC OPERATIONS3 [' m7 x$ W" O' n7 t& x, U0 l2 ~
08h: READ CONFIGURATION BYTE! |/ @- e: f4 a, |) i" T- d
09h: READ CONFIGURATION WORD! a( y! z' @; ?9 y9 v
0Ah: READ CONFIGURATION DWORD" x: k9 L, q4 T
0Bh: WRITE CONFIGURATION BYTE1 L( g. o0 F- a% |$ H6 f3 y
0Ch: WRITE CONFIGURATION WORD" R) s- ]3 u" ?: t
0Dh: WRITE CONFIGURATION DWORD
: m; E* j' L7 u2 S( ~7 z0Eh: GET IRQ ROUTING INFORMATION
4 L( p4 b+ l6 T0Fh: SET PCI IRQ% Z" A5 Q  l+ f7 L) |4 A! W
81h: INSTALLATION CHECK (32-bit)
5 z6 c* T4 m$ K9 K! n5 q) F82h: FIND PCI DEVICE (32-bit)
7 j/ \& Q# a7 I$ |+ c& a2 I83h: FIND PCI CLASS CODE (32-bit)$ [+ o! T+ s! j+ y3 ?
86h: PCI BUS-SPECIFIC OPERATIONS (32-bit)
* F& M. |" X/ Z) I: q& l& ]( F7 `, {: {88h: READ CONFIGURATION BYTE (32-bit)
8 g6 r! y, T8 Q& k1 D2 v0 g( g. {0 T, b89h: READ CONFIGURATION WORD (32-bit)
9 r5 E$ X4 K3 \  z0 @, v8Ah: READ CONFIGURATION DWORD (32-bit)
- Y# c! G2 T, n% \7 G  \3 t) `& s8Bh: WRITE CONFIGURATION BYTE (32-bit)- ^, L% W+ P' d+ N' r) j
8Ch: WRITE CONFIGURATION WORD (32-bit)4 q" I. u0 H' ?3 u9 O: s( x
8Dh: WRITE CONFIGURATION DWORD (32-bit)
. ]* p5 y1 t% n! j% U, T; f8Eh: GET IRQ ROUTING INFORMATION (32-bit)
( H" `- E1 [* o) a- y8Fh: SET PCI IRQ (32-bit)# s  U4 \" a( g( X9 s- s. a
我们使用function id 09h就可以从configuration space 中读取出一个字,这样的操作明显简单的多了,只需call 一次int1a中断即可。下述c代码演示了读取Vendor id的过程,如需读取其它部分只要算出具体config-address即可。
- `( K: t$ b8 `. \2 {
" Z$ O, w: S7 {5 C) d( s#include <stdio.h>
* q' S) k( P3 n4 T$ ?3 U#include <conio.h>
( k4 \! U; S" V& y#include <dos.h>
# |) H6 A# ?8 P$ s1 d, B) B, `5 s0 ?" c
int main(int argc,char** argv)& d' P6 {" z" h6 |: s
{- f6 v& q  d2 C' m3 e3 \8 G1 @; C
8 u6 T5 p4 q+ O" F* A
     union REGS reg;
4 A$ ?/ d2 Z1 L- P7 t: j6 K) K: F' a% m
argc = argc;
% x0 X4 g  w: y6 y

( n4 x8 q/ s2 n( ]% M1 \argv = argv;
3 R0 S8 _$ y  O# r" ]5 S
& H# ~. l& y" @2 U1 K2 a6 ^1 G
reg.x.ax = 0xB109;

8 @* m& ^9 b, J' B. ]1 y  z: F2 y2 b/ W' F/ G$ f. U) L
reg.x.bx = 0x80000000;
" N3 ~9 @5 F) B( S; N- N- w+ m
. A2 ~! [, v! ?0 [9 m& l

5 `, @  a2 T7 o; Q: k" _reg.x.di = 0;
& K$ b& Z' w- {+ h2 x
; B9 [$ n' ~' U3 M$ g# P
8 N1 A5 g: }& H9 y* R# K
int86(0x1A, ®, ®);
" D! X5 Z0 L9 V9 O: K) b" S
( M& z+ p" d; Q% J% U2 l# t8 `
     if(reg.x.cx != 0xffff); N* X2 I- }6 j: u) n+ O, O

, j% ]3 q9 }; r: z3 @( M# u{

9 n1 n1 i4 ]. F3 Y# ]; k! S8 I; r( r& x0 S, C7 s7 m
printf(
"Vendor : %4.4X\n", reg.x.cx);

) `( g, P* A" _+ o. x- h5 ^+ k& O
}

* F0 A6 ~' a% b7 d3 j3 r- O; l' L: \2 o" Y$ Z7 Q, {
     return 0;; t1 Z* m& v* ?3 _6 ~
}
, W/ x6 y7 j+ [/ ?$ }
9 V8 J, N8 d7 T) R; E  a程序运行结果如下图5所示:
1 `6 w# Z0 _- k0 ^, z, q' G# I% }5 [3 z
pci5.JPG , ~  U7 `5 I0 j" V: F
# y! _% ^. ^& `  q0 j5 o/ _
5
* g, c! x, F5 ^; F! }' D) l# k5 l
4 ?, p4 G% @* j& m8 {! }- y4 T
最后依旧是开放完整的source code和可执行文件供有兴趣的朋友下载+ |) ?$ v- O4 r

' X6 c: q2 x' L  T# A

' B; S, Z* ^7 o0 gEnjoy it!1 f- `! y: p3 c, `6 S: H8 x
$ D* u7 v; ?( G6 M9 j  k! @. t) \, J

' `5 R: U* Q% y$ sThat’s all!
8 ~: _" g* L# W5 D  L/ e8 P4 R; L4 l7 }# A! U4 F* t6 R, Y
Peter 1 C8 t6 L& h5 \! X: E- Q0 k

$ d: d7 c: w  S0 N; |[ 本帖最后由 peterhu 于 2009-7-6 16:55 编辑 ]

PCISCAN.rar

4.69 KB, 下载次数: 551

回复

使用道具 举报

发表于 2009-7-7 11:28:28 | 显示全部楼层
厉害!!!!!!!!!!!!~~~~~~~~~~
回复

使用道具 举报

发表于 2009-7-7 13:35:14 | 显示全部楼层
Peter Open Source 的观念很强啊,想当初小弟刚开始接触BIOS的时候,要是碰上你这样的大虾,那该多好啊...
3 I* Y- R2 \! ?UI 就不用画那么辛苦了
回复

使用道具 举报

发表于 2009-7-7 13:40:56 | 显示全部楼层
同感,同感!!
回复

使用道具 举报

发表于 2009-7-7 14:47:21 | 显示全部楼层
支持LZ     !
回复

使用道具 举报

发表于 2009-7-7 15:50:58 | 显示全部楼层
预祝Peter转业成功!
回复

使用道具 举报

 楼主| 发表于 2009-7-9 10:17:02 | 显示全部楼层

戏说BIOS之Clock Generator

戏说BIOSClock Generator

8 T1 y8 I2 r) ^
( p0 w' G/ G) {0 s
1. Introduction
' `; L5 h; a' [7 u! a
0 U: a/ d7 v% T8 y: _% h! HClock Generator是主板上面一颗极为重要的IC,说它极为重要一点都不为过,因为Clock generator负责提供主板上的clock, 一旦Clock Generator除了问题,板子基本上就完蛋了。Clock generator供给的clock部件有CPU clockPCI clockAGP clockPCIE clockSATA clockUSB clock等。
, V: F! n7 D4 z0 c% O

6 y1 y- a7 d5 N7 a9 c6 ?+ N. }% z
) b" u: J2 p: O. _6 ?$ c2. How to work?
) _# n/ y/ r8 U4 Z& r( c$ u4 c( {
4 X8 L: w: o1 _) }- L2 M/ T: IClock generator 是一颗IC,它有一颗外接晶振,内部会有锁相环放大调整电路,可以将外接的晶振产生的clock放大调整然后再分频输出到各个外围器件和总线,提供器件和总线工作所需的clockClock generator的工作原理如下图1所示:
. ^# X" g, I: e: i$ \5 ?, N& U1 K) m
" G: v! p6 k, B1 s
cg1.JPG
7 h- N& w/ I/ y# q2 Z, @Clock generator通常是一个smbus device,接在SBsmbus controller所以通过SBsmbus controller,使用标准的smbus protocol就可以存取Clock generator上的configure data从而达到配置各个外围器件Clock的目的。鉴于clock generator的重要性,所以BIOS在非常早的阶段就会配置它(boot block阶段),让CPUmemory等的工作有一个稳定的clock。下面我就以VIA平台为例演示Clock generator读取过程:& J2 ?5 p* D. K7 j! \

2 E+ e: L7 ]3 x- D- K2 q- s! K% j+ I
  • 首先要通过PCI配置空间找到SB smbus controllerbase address
  • 查看Clock generator smbus slave addressICS9UM700 slave address42h
  • 透过SB smbus controller下达slave address & protocol完成clock generator数据的读写。
% S0 A" ]$ b5 U$ u$ g4 x$ T/ L2 y/ J
下述code演示了获得smbus contoller base address的过程:1 T$ h2 V5 \- U; e
;----------------------------------------------------------------------------
3 F8 C) K: k+ O/ y;get smbus base address3 ^* V' r! Z+ m: V
;used registers:eax,edx3 x5 c- l/ ]0 u
;called with:NULL# F  P, m' H7 H/ T
;-----------------------------------------------------------------------------
: C$ v- M) R: V8 g$ sget_smbus_bar proc near
/ Q: u: H& o9 A  \+ m- F$ ~; g# A  N
push edx

& d- D( z' H# @) t8 w8 Y& y: f; T/ K
push eax

; b! f& T/ w; M; v9 x, ~- t8 W+ a6 R. L3 j& N4 R; U3 T
mov dx,PCI_CFG_ADD

& \( u2 S2 H- @4 p" X9 h# q1 y& @" B' w4 J6 Y
mov eax,PCI_SMBUS_ADD
9 @" S  I$ E% ]1 J. T% Y

9 I: Q+ V: m4 Q2 k, r9 L  Xout dx,eax

: c, Y( J" M) }. A+ L4 v
3 |0 f- s+ w8 {8 [, S. ymov dx,PCI_CFG_DAT

. R/ N5 K, }, N- R, U
6 {" @4 b% w& G6 t5 |3 Q8 T  bin eax,dx

9 B: u' G4 O* P/ k4 v
* E  X8 n: C$ s8 jand eax,0FFFEh

" _" Y' s, `3 T1 p% z! d, K% ?7 v6 `% C4 ?, a$ v9 |* u9 [0 u1 l
mov SMBUS_REG_BAR,ax# D7 z5 u+ e2 K0 {9 A/ A/ D

6 B' \. O- ]9 ^* I& L3 M8 Jpop eax
0 E& a5 j; l& {; d

9 U0 I' t% `! p: P! J& ~) c3 zpop edx
( U4 p" x6 n) |# o4 E) ~2 B9 E8 q  ?

- H9 Y8 G. |8 B& u# wret

9 d: k4 Z. o, w  h1 Kget_smbus_bar endp
; S  _4 s- z% R8 k# l+ n8 o7 N7 k4 Y7 m& m9 L  O4 c/ p/ p
下面的code演示使用read block protocol读取clock generator configure
- {* j" w0 @6 X8 W! I7 mdata的过程:" b3 P" |% ~3 H/ W# g9 ~& u
;----------------------------------------------------------------------------; V+ g; g. Q2 Q' [1 F
;read via clock gen data by read block protocol* v" [( A3 y+ n6 D, `& Q
;called with:NULL% \0 I  [7 x4 B! [% e% [' [
;used registers: ax,dx,bx,cx! P1 P: }! t: F, M' @8 x+ z0 F
) R' y  n5 p5 r: v9 U
;-----------------------------------------------------------------------------: T8 i1 {% i3 e6 {
read_via_smbus_block proc near
1 Z9 @+ w8 H  P
/ H  ]- ?$ t) H  k# w/ Rpush dx

+ e+ K4 A0 A- Z" b/ ?8 d  H, B# ~; i, C1 u
push cx

! O: t4 j, v" F+ @6 m
  q& G* e- @$ Y3 D0 N* N& A- `push bx
4 a$ P# b* Q* V4 U$ ~2 Q* P

& x4 P2 i* l, R6 zpush ax
1 U2 G% C) U$ T0 E

1 K' L6 K8 n  Q3 ^" T* p
( L2 }, s% l5 Ccall get_smbus_bar

. m7 m: ?  f! y; v- y/ p, Y; ^9 j3 V9 K: C' K" D
;reset host status registers
% K( A1 K- E# R* g
9 m1 ?% ?# {8 R& r; ]
mov dx,SMBUS_REG_BAR

0 \# T& |9 b. l3 j4 p% ?) ~" R" _7 m9 c/ W8 s9 V  f
or dl,SMBUS_HSTS_REG;(00h)

( [( c" v9 j/ ]8 Y/ H1 ?* h2 }# F/ |, p; m
mov al,05eh

- t, z& _5 D7 ]) P- b9 P9 z/ ^4 H) c( d0 L
out dx,al
5 P) M6 b) L6 Y8 R2 D
- U% S! C9 q+ V3 l! b/ h, C

" T. b  S8 t  e8 V, ^;set smbus slave address
6 t* `* ]2 _- G4 I& [

2 F6 q. ?5 w5 O+ A3 Amov dx,SMBUS_REG_BAR

) ^" [* w9 p! {- t# f" K# C8 L  v/ s/ s
or dl,SMBUS_HADD_REG

0 Q( j& m) K6 F# m1 ?
: T5 p( i" E  W# T. pmov al,SLAVE_ADDRESS
( r# S/ M0 \+ ^, P* I) i3 ~3 Z
3 f+ t. `4 \; ]$ t+ {
or al,01h

+ |6 H$ l7 Z* s% n% w' }' O) C& \5 B0 c0 |
out dx,al

% P/ Q" g' C  ]' Y, h# P/ Z% |) w$ \1 r) H& D9 @% c
call io_delay

' y- _, m. x' ]2 k6 t" n, c: ?3 ]6 B3 x0 m) z0 Z0 K' k" b4 w
, n  W! c! ~$ S# B) C
;clear smbus status

( C7 c5 b0 W6 W2 r4 j/ G4 w5 T
3 i$ N* R0 C1 l5 V" vmov dx,SMBUS_REG_BAR

/ [9 _# r9 h7 K1 \" \# g: p' U/ o' o
or dl,SMBUS_HSTS_REG;(00h)

2 a- y% S* c" U' }
7 b* L/ |  P. _/ M, \, y" |* [mov al,05eh

" E* {/ t; M( a! Y3 l. g4 L4 W2 X% c7 `6 U. @, @5 i# g  `. @
out dx,al

/ n& A% Z7 r- G) K" Z5 Z
3 H1 r1 R) H3 E7 s4 P1 T) A) |. _call io_delay
3 j: g4 s! C0 |& ?% M. S4 W7 `$ P9 o

7 F+ G: V. _9 @0 ~1 q  U, v& V5 D# G
;clear smbus command byte
: P4 f) n% j8 q3 o8 T: b
- r0 D6 D8 d- ?  y0 M2 {4 B, E" Y
mov dx,SMBUS_REG_BAR
- I6 q5 I. G3 k: s: W7 t
; }( U" i: z% z7 E
or dl,SMBUS_HCMD_REG;(03h)

+ U, i$ m* c  c9 i' `- l3 u  h5 z! G! G8 q# I
mov al,00h
4 r  m* y- l* G2 a! ^, F! _1 Y. V

8 W. V( U- u) U9 |out dx,al
% P, Z+ |/ E( O% y3 H0 }
2 e4 L. x) x: g$ {' O3 ?- f

+ z: g) F7 y+ w6 Y2 h( L0 P2 z1 w;block read protocol

1 o2 y7 M5 {( n. [% i
( |0 U2 l3 b1 H1 }0 smov dx,SMBUS_REG_BAR
# u/ a% N: p7 u( ^
+ I+ f3 }( C9 U* f0 F) y  y
or dl,SMBUS_HCTL_REG;(02h)

  b9 S  Q& B2 q7 |+ ^+ s
) k* B# U+ ?/ Z2 `. J9 r3 smov al,54h

" R  I# `8 J/ o0 F5 G9 H
5 ^5 X5 z: S' j8 p9 Z7 I. Hout dx,al

1 o8 V; w5 t5 q2 o: ~
* n2 ]* O8 X/ g$ g3 F4 W! scall io_delay

, j( j2 R4 Q* p, T! k  ~* |6 D# k& x
# s5 U+ e& k1 m* [! w8 l4 d7 d% ^* h; x
;wait for smbus finished
6 b- i- `& t2 @7 T% I5 n2 b
. {7 C' H& x# C: V% l
mov dx,SMBUS_REG_BAR

0 a# H& @, _% n& i: T8 {8 C
7 W6 y" Y, I6 {5 jor dl,SMBUS_HSTS_REG;(00h)

7 L% K  ?  d; z5 G+ h& w# z5 p4 Y8 G- p( u' B
rvsb_wait_smbus_fi:/ c0 B/ U& q- W2 z

/ d3 J2 r: ]4 K, lin al, dx
6 m( x/ Y9 d7 b! }$ n# f; |& H! c0 C9 w

3 D# M9 `5 W$ B! {. n0 o6 E% ~+ ?call io_delay

2 B' _4 n! f& ]8 h- c' \4 T- U8 Z6 l# d0 M( ~8 [# I( \
test al, 01h

  @# @, K" |7 w) _" B& `  w' `1 p' ~( o- u4 q
jnz rvsb_wait_smbus_fi

. y. Y) N. H  b' i
- }% F* `/ o# Y" _4 d1 f) T; J* J9 z3 s2 e: Z; f
;read data count
' v  C: y( K( x% I6 i0 B! R2 P

- W+ w  T; k3 k' y8 E8 r7 ^mov dx,SMBUS_REG_BAR
1 R2 e2 g2 h2 [  e7 m
! t6 m9 Z2 ?- f& A# b7 V# F
or dl,SMBUS_HDA0_REG

2 l7 z" A# L- P4 }5 S1 |( t5 _6 K' D! S+ {0 O$ t
in al,dx
. f5 C7 ^' }7 D+ e. o- @

3 ]3 y) ]: m7 N  C;read data from smbus block data

) _; H' c  }; G0 \
% X! i0 `  `9 J3 B& Qmov cl,14h
2 h4 o  @8 M9 b+ z. u2 i) m: o; |8 Y

1 [2 K4 G, N" z& Q% ^" ^mov bx,offset REGSTR

" g( k9 ~) }6 i; C$ Vrvsb_read_data:
2 W" R. v. P2 O5 y' H
! x8 h9 z2 E$ |2 ?1 `4 ymov dx,SMBUS_REG_BAR

1 ~& Z7 K5 ]+ U6 ], X, h, q8 h4 F* S5 L
or dl,SMBUS_BLKDA_REG

, ]: X, `3 z: I3 g4 g* ]7 F% ~* |' o% K, q" j2 v
in al,dx

5 a4 \4 W: U+ x! z
3 J2 [1 m; e4 F/ K6 [8 H6 K6 m, d+ {call hex2asc
, y4 j( b+ B, E) Z2 ]4 V* A

: I) k0 ~7 n5 y! e  U+ @, @dec cl

& M/ Y/ j$ n, n4 V5 {- y, h3 l& x4 v( G
inc bx
9 u) x! Z9 l/ ^& \( d7 R" R
8 l8 n& i, r/ r# l1 ?
inc bx6 m/ G% T8 q" X1 t7 E& F4 h/ E

( u: A5 [/ z/ N2 C9 H$ L7 L" _cmp cl,01h
1 ^' |( E  ]5 k7 f) I2 |# V7 k' P3 J& l) _  r7 E) _: h
jae rvsb_read_data* k0 R) ]3 }4 Q, E
, a* ^9 [, k1 Q( _! g6 g: v7 Z8 }
pop ax5 l- M  \' p8 K/ N* Z! k' K' u

! @  O2 y# H0 t2 mpop bx
. G+ W: j. p& t5 R5 p# n$ u) q

) H0 o+ k) w' o2 j; H- p7 z- Z( m' Q" Tpop cx1 M; j/ K: y9 v! \9 r5 ^8 X5 \

8 Z: ]1 V, o  G8 o2 k6 A7 H, kpop dx
! N- w3 e' l0 ~; T7 ]& d* M/ T  y) P
ret
7 U5 c1 \  Y! i* F& Z# k: a! Vread_via_smbus_block endp0 x9 P  r- g" v7 I! N4 P0 z

% i0 p" e! a1 X; I3 {下图2演示ClockGen.exe运行时的状况:
  L: J/ B5 }$ }
2 z( B6 C& C5 N) h2 w+ q- N+ T$ m
cg2.JPG
5 r' E9 k( \& H* Z              图2
. A7 K( q  m. ~# ]/ p9 j( m( E
ClockGen.asm只演示了VIA platforma + ICS9UM700dump过程,至于其它的平台,有兴趣的朋友可以如法炮制。那么这只tool可不可以做成通用的呢?当然可以,只要从PCI configure space读出Vendor id识别出平台然后再根据具体的平台spec去获取smbus controller bar(可能要多看几份specJ)然后就可以操作smbus了,clock generator slave address可以让用户输入(我看到的几个都是42h,但是也会有特例)。我比较懒,有兴趣的朋友可以试着完善它。最后依旧是开放完整的source code和可执行文件供有兴趣的朋友下载。$ f9 F8 |- q) \. X+ h# t

5 {7 I! f8 B& f$ ]4 A

# w3 k: T- u" ~" C$ G/ gEnjoy it
2 _& e" J! E. h" ?
7 l$ y1 s6 b3 t( J) d4 |9 K/ D1 m% U; o, N' b/ U3 L% c5 g
That’s all!
  C+ w" \8 ~4 s! h4 y
) l% g& P3 r7 g0 |$ PPeter ! F3 A2 t( w& [# p$ e
# l& _3 {: B' p/ ~
[ 本帖最后由 peterhu 于 2009-7-9 10:26 编辑 ]

ClockGen.rar

4.1 KB, 下载次数: 521

回复

使用道具 举报

发表于 2009-7-10 15:03:12 | 显示全部楼层
向Peter致敬!!!!!
回复

使用道具 举报

发表于 2009-7-10 19:36:38 | 显示全部楼层
Peter兄,这怎么能叫不务正业呀,我也是BIOS和EC都玩的。
回复

使用道具 举报

 楼主| 发表于 2009-7-10 21:21:19 | 显示全部楼层
Alexander 你功力深厚啊!" {9 |; `9 }- g: p" _  B: c0 u
呵呵...向您学习.: Q% G) G% N. d
# Z- n- c2 Q# M) l3 c8 Z- P& |
[ 本帖最后由 peterhu 于 2009-7-10 21:22 编辑 ]
回复

使用道具 举报

发表于 2009-7-13 14:40:45 | 显示全部楼层
好强大的帖子 我正处在入门阶段 能看到这么细致深入的教程感觉真是很激动 拜读中
回复

使用道具 举报

发表于 2009-7-16 13:14:42 | 显示全部楼层
peter,可嘉可贺!
回复

使用道具 举报

发表于 2009-7-20 17:08:06 | 显示全部楼层
俺也搞了个类似RU的东东,献丑了。

Hardware Editor

Hardware Editor

HE.zip

32.81 KB, 下载次数: 485

Hardware Editor

回复

使用道具 举报

发表于 2009-7-31 23:17:14 | 显示全部楼层
Faintsnow好样的~5 ]6 ^# d; y" z9 [  L
这个Tool实在是太棒了, 很好用哦~
/ y9 i: m. R1 _* U我顶~~~~~~~
回复

使用道具 举报

发表于 2009-9-4 23:24:56 | 显示全部楼层
顶楼主。 $ x, f# O  ?% H  G
拜读啦,O(∩_∩)O~
回复

使用道具 举报

发表于 2009-9-11 00:12:07 | 显示全部楼层
还真没有几个能够“戏说”bios的啊。 你算是个高手了。
回复

使用道具 举报

您需要登录后才可以回帖 登录 | 加入计匠网

本版积分规则

Archiver|手机版|小黑屋|计匠网

GMT+8, 2024-5-20 08:31 , Processed in 0.049632 second(s), 20 queries .

Powered by Discuz! X3.5

© 2001-2023 Discuz! Team.

快速回复 返回顶部 返回列表