找回密码
 加入计匠网
搜索
热搜: BIOS ACPI CPU Windows
查看: 51801|回复: 39

戏说BIOS

[复制链接]
发表于 2009-7-6 16:05:24 | 显示全部楼层 |阅读模式
戏说BIOSHello BIOS0 H9 L% T$ [3 G* z
7 O. t, a6 I# w

' K. e7 k+ V0 F" b- H6 O我所知道的EC”系列基本结束了,现在我终于可以有空玩一玩BIOS了。可是需要特别强调的是我是一名EC而不是BIOS,所以我看BIOS的视角可能会不够专业,也不够正统,这也是为什么我将这个系列取名为戏说BIOS”的原因。可能有些朋友会觉得我不务正业,身为EC却去玩什么BIOS(呵呵我本来就是不务正业J),我觉得PC本身是一个非常复杂的系统,从经验来看很多问题都不是孤立的,通常会牵扯到很多的环节,因此如果将视野局限于自己的一亩三分地则很有可能见树不见林,看不清问题的本质。所以我觉得全面细致的理解系统的各个环节非常有必要;而且我的技术目标也是希望通过n年的努力能够贯通PC系统从EC->BIOS->OS->DRIVER->APP的整个链条,把握系统的运作的脉络。关于这个“戏说BIOS”系列,我打算先练习一些BIOS新人学习作业,如:cmos dumpkbc accesspci scansmbiosdump等等;然后在对我感兴趣的一些BIOS的领域做一些study。现在让我向BIOS世界打个招呼吧: “Hello BIOS,I am coming!”
6 I5 o' X0 l% i3 V
data segment$ j" E# H- G; P. G

$ ^7 r; a5 L1 p4 A: P+ CHelloBIOS db 'Hello BIOS,I am coming!$'
% o, a/ a* z' ~1 e8 d% }+ Y4 N
; [+ y; K: v0 Z6 P. a( |) J& M
data ends
+ K, M8 ~0 c+ L5 c# W( W2 d0 a( V$ y- j* W0 ?
code segment
( ~+ z& c2 k, k, z
! v% u: W  Q+ W- H' c' Iassume cs:code,ds:data
3 @6 o  E: P$ ~4 H# [

& l, D9 V" X6 f' f9 |5 t' @start:
; Z4 T1 ]0 F7 x* d5 n2 |
& c( y9 o1 F. ^: k! g& Y
mov ax,data

$ l3 }9 m  z" B/ q# @
% d  w" _7 B( x6 h) X! Tmov ds,ax

* ^$ x) n- u( V0 c2 f: I% y3 n: y# E! V7 ^
mov dx,offset HelloBIOS
: n# T& K4 Y- C- g  |' T( K7 M) u
1 q- r) A3 ?& M+ |) h
mov ah,9

/ L5 `5 I( D. Q9 e1 o$ c6 t% x0 g: @7 [/ b8 F3 q
int 21h

$ |5 h6 T1 g, z
( _( K" x4 U, M) t0 |
mov ax,4c00h0 n$ l* M" g) ?  H# k

3 h. K( [" n3 X3 s6 j$ p* Z: j5 fint 21h
: v3 J7 z4 l! W' Q# I6 r
. a1 `" h7 d/ W3 k! }
code ends
" k9 y8 d5 S* ?
) g  O" n0 `( s2 z/ E3 k4 kend start
7 i8 p: E* O  {9 N5 d. V
% l8 \7 E. J3 j/ u( aThat’s all!6 V& w# q' C( g3 U
Peter
' y2 a) o3 G! R9 D* r" U. g2 i" q' q! A- x
[ 本帖最后由 peterhu 于 2009-7-8 09:13 编辑 ]
 楼主| 发表于 2009-7-6 16:07:54 | 显示全部楼层
戏说BIOSCMOS

- V6 `1 @& Q  x4 f! G/ W
7 {& k, Q9 W, S' ^. Z  E
1. Introduction5 t% V* X" `9 A! K

6 L0 c* H% S! y( @CMOS全称为complementary metal oxide semiconductor, 翻译成中文就是互补金属氧化物半导体,它是由一颗小的纽扣电池供电的128/256 bytesram(现在的chipset通常提供256 bytes或者更大的空间)。它主要用于存放RTC以及一些oem的系统配置信息,所以除了RTC等部分其它的很多信息都是undocumented& non-standardRTC 标准的(documented&standard) ram bank如下表 1 所示:8 L) }, S  m$ ?0 r' |: u" _  `
0 q* B) D" H( E/ k0 k) E& @: P
Index
; G* F! x6 v$ L5 _3 k" z: \: Y/ N
Name
1 g4 b& D2 [; r& b( r" e9 t
00h
. C" x/ b1 [- a) W" I9 B
Seconds8 `" M3 Z6 e: A+ j, j
01h& X/ U# W4 Q: F: u2 E- X
Seconds Alarm
, t( S, z& ]% b  N
02h, z" |7 K' z9 |* k
Minutes
1 k. _# a) l# t/ m
03h( g4 v7 a/ a7 C% Q: }' a4 ~
Minutes Alarm! s5 f2 H- p) _9 ~% H9 ~1 x
04h; `3 t- I8 Z1 Y! P5 B/ O
Hours7 x' l2 }/ O3 @# q( c) A) M3 T1 E
05h
* |0 f$ f; F! C; ?5 l2 c7 D# E; T# e
Hours Alarm
% {2 M& ]0 S2 d) |7 o1 E; x
06h
* A5 P$ v/ {+ G7 S& `
Day of Week" H+ T  O# g, y
07h
2 d1 m. i; U2 W/ X, X; i3 x8 d
Day of Month3 y; ]3 w1 _$ u+ x9 k
08h( T) S* g9 ^+ Y$ L, Y+ w) @
Month. u5 o  Z* |; {  e
09h
- Z/ ?8 G" ~( X. g9 W# r
Year
1 u& ~7 ^" _' B. W* l9 N: h6 s
0Ah$ Y; U' r/ i7 j# }9 C! ~/ r. l
Register A3 w1 h/ P6 M3 R) y& y: E
0Bh) D( I* X. D! k7 P
Register B* J- D5 n# L! t; L: a3 s. x0 k
0Ch" E* }, C: W! M  p; |/ |+ E
Register C
' H/ e: L$ @  S9 k+ J
0Dh) K! l5 m+ p0 I7 g+ A( o
Register D4 [; A, Y% e+ |1 w
0Eh-7Fh# _* M1 l/ y# }5 V, N
114 Bytes of User RAM
2 Y! E6 n. i) k. s0 L. v, p0 G, w
                 表 1
: S6 _1 E0 p. p* `/ j
5 {2 N& _; h" x$ `4 D( v" w2. Access Cmos& w* R) S# t2 m1 p( j

/ N- h0 d+ E$ ^5 E" l
- m6 h; d$ W- o8 c访问cmos通常是透过70h,71h这两个IO port实现的,有些chipset支援256 bytescmos ram,访问128bytes以后的空间需要开启chipset的始能register,有些chipset使用72h73h访问扩展的空间如intel chipset,有些仍然使用70h71hsis chipset,因为这部分是非标准的,故后面的练习程序就不去读写这部分ram space。读写cmos的过程非常简单,读特定的index的内容只需要将index送给70h,然后就可以从71h读出对应的数据,具体过程如下述code所示:
& F4 y( X7 T* ?
;----------------------------------------------------------------------------/ J) M* o* @+ X! z  Z$ L( s
2 V* O. Q+ V) z# W6 b! i; Y
;read_cmos# }8 x; `) H# G7 M5 d! N6 D. k+ V8 T
;read the contents of a specific CMOS register! y9 Q+ J1 l, O
;call with
5 a+ |- F4 S8 _:al = CMOS address to read
5 x  O2 C8 r8 R$ ~;returns/ P5 C! [4 S  Y4 {6 o
:ah = Contents of register+ F- E1 s0 U: n! ]& a* B9 k
;used registers: ax
$ d" c, O& F2 a$ V: N7 W+ B;-----------------------------------------------------------------------------  [" @' e$ H' P; B) O& C* E
read_cmos proc near' u5 a0 o3 e3 Y/ l

0 V* @1 Y: m  T' B
8 P3 M" I( s2 L1 T7 u+ Fcli

  J- @+ j/ h! f4 i( F0 b" o
: Z4 s9 t% J- {' |8 Q5 w# f& L# nor al,80h;disable NMI

$ [- U) C2 D$ v. D8 d: A1 q6 h- W' v8 }& q6 C2 m( O4 N
out 70h, al

3 R$ C3 R" P0 e- f' h& ~* l9 p1 D9 U% m# O1 k5 V5 n
call io_delay

* g8 S6 y, b! Q+ r: q( k' a
" D2 B3 H; f; a! k, X1 X- ^in al, 71h
7 x* R* S4 f" G9 p+ r5 W4 X, P
( x9 G+ q9 q; i/ C% _! z
call io_delay

& u8 ?- S: f' c1 Y% V' X* t
- r% a4 d( n# M: lmov ah, al
, ~  R! }. J5 ^1 l0 _

. P! R6 C2 g4 h8 f, |xor al,al

: j& j1 Z# H/ c1 M, t" U
; q6 K( P9 P$ {+ z0 _, M" Oout 70h,al ;enableNMI

% d- A; v" ?/ e) B4 K/ W+ e
8 f, r# Y8 f0 xsti
$ K! i/ `6 c; Q: Q9 k  d
5 P: O4 Z0 |# {# o
ret
  Z. }4 B9 U" X7 ^

& I0 C) C4 M8 c9 c* M# }% Zread_cmos endp
& z+ Y) j  I/ o; I! M; J+ ^写操作和读类似,只是要将待写入的数据送给71h即可代码如下所示:
2 u" j0 S/ T5 j- B8 G7 ^2 E; E4 N) R;----------------------------------------------------------------------------
" O& s' m3 Z! O! d( _

5 {' ^  r. E8 o3 |  ]# S( \;write_cmos
) G& ^, X9 L8 H9 W0 h" ^;write the contents of a specific CMOS register
/ o# [% l* n) B  u- f;call with
# f8 `3 [# q) g:al = CMOS address to write
2 L7 \% i5 I7 T$ W;ah = Contents of register
3 i4 G4 |! V7 n, A, O$ J" t;returns:NULL
/ {: i) i1 W4 |+ T$ n2 x;used registers: ax# l) {9 E+ T$ `1 J& _
;-----------------------------------------------------------------------------
: K# U5 {/ f6 R; Awrite_cmos proc near
; B- F" o! v2 W. X4 T1 B& D' F  X8 T9 b9 B* ?6 Q
cli
( S7 k$ G5 N# o% ]0 i0 ~

  ]5 n  s& c) Y1 q1 @0 R; Kor al,80h;disable NMI
" }: j5 P' E- S. j5 z2 k9 z
$ q/ Q2 x  b( J. F( `" P' \1 x' F
out 70h,al

0 k+ m" S9 Z3 S' D* g& O& M
$ N# A3 s1 o/ a9 h! C; `# ecall io_delay

! |+ H: E+ ?5 o4 t; \+ E
) G7 ~4 O) s# \" `' }mov al,ah
0 G* ^: ]! O2 u1 [& }7 X

6 t2 l* b- h0 j3 L: t5 S$ P2 nout 71h,al
, [2 ^( s$ R% s2 a, ~) q
' h+ f5 M  c5 L% Y5 R
call io_delay
6 j9 Q* u; x" C7 f1 d) {8 O1 Z2 g
  [) m2 A/ r# T- e
xor al,al

. C9 y  \, \* Y7 `
! }- |5 J& {7 K3 C  Kout 70h,al ;enableNMI
) `, p( y1 z" ]: h# e' P0 x
) }, d) H& x: Z0 V1 @
sti
- Y: X4 s- }7 G3 Z" g1 N
$ ?4 i4 g* z# {2 A: a
ret

' q5 [) q% C: B1 a+ F/ u4 s4 q! q! d$ i' S
write_cmos endp
% S% Z3 a& I! x5 f& q, c9 ?

0 K+ y' t7 g4 W9 E另外有些细节需要注意的是:a.读写过程中都需要关掉中断以防止,中断处理程序访问CMOS以及RTC更新过程中可能会导致并发访问。b.NMI(non-maskable interrupt)是一种中断向量为2的中断,但是与常规中断不同的是它不能通过mask register屏蔽掉而且sticli指令也对它无效;NMI通常用于一些无法恢复的硬件错误,访问CMOS时也可能产生NMI,所以需要关掉。NMI可以通过70h bit7做开关。c.状态寄存器A bit7记录了RTC是否正在更新,如果正在更新则等到更新结束再去读RTC(我写的cmosdump因为偷懒没有检查这一个bitJ)。: I0 y( L: y( L& w  s
$ J1 \# r. J2 |! y
3. Msg Based Event Driven6 y6 y1 W: S+ ^" z

+ f( W9 P5 C: T4 \- f2 Q( G/ k6 c5 ?
知道了以上的知识,我就有能力写一个类似RUdump cmos的工具了下图1就是我写的cmosdump
9 V. ^$ l8 Y2 Z* O5 E; Z
6 y" d; q* I! t- o' u/ L& X
cmos1.GIF # A; `' J' ~# s
我觉得访问cmos本身并不困难,画个UI倒是挺费劲的,一个劲call vbios
: D; L9 x# h/ O$ k" v) P另外在完成这支tool的过程中我更深刻的体会到知识是相通的了,windows编程的经验在这里发挥了优势,为了能够动态更新,实时修改我就借鉴了windows下的“基于消息,事件驱动”的机制Mainloop->GetMsg->TranslateMsg->DispatchMsg一路下来好不快活!这部分的代码如下所示:
$ n" Q/ e! t& ~+ s: V" }% A1 [( `8 r9 {" R4 ^+ U8 o- N/ H

4 q- N/ U* q! ?8 Fmainloop:7 r1 {- B1 A( y: J8 q! `
' K/ o. s$ g: X
call show_index
8 f. U' x5 d5 E3 E, P' `

- j) `' m3 ?2 @% x  mcall show_cmos
; `. J/ W4 h7 Y% k

5 Z5 y; P, S  q. Oinput_msg:
! h1 h0 U  M' O; e) X/ ~9 w% h; H( }# }7 \) K8 A8 b, w! @
mov ah,0

7 G  q! |  f) d! T
3 U& i  O- x1 Cint 16h

8 Z# t6 j8 z$ u: R, G; ?1 n( n9 C/ v: A
3 w/ X' U, s9 e4 c& u0 Y# Ycmp ah,01h ;esc
; q9 X8 P. n8 {2 M
+ @7 q* [5 A9 @' G  W& @
je exit
" d8 w2 h/ K0 g+ N: s
) E5 W3 k8 U& `% v
cmp ah,48h;up arrow
: q- i1 V* h+ s* J7 V) H7 r. C2 m
6 [0 _- F) _9 G* n3 k2 I0 X& ~
je up
9 M* b5 S" b; p0 R! }8 r

# ~+ R4 p6 j  X  ^3 T  I" H  ~6 Ocmp ah,50h ;down arrow

. V; E6 X( K2 |: l) f7 s
% [1 T" ^2 n1 C* u2 Qje down
+ z; z) K1 J/ E! ?

+ l/ I+ z' S6 Jcmp  ah,4bh;left arrow

- Q$ S& t1 `) ]6 y+ Q4 ^% ?
3 k( w+ B5 M7 ?' e( d$ u6 Tje left
! L/ G! Q4 k. m6 I' ?. |4 F; o$ O

$ H6 D$ @* u8 y( C: P$ v0 tcmp ah,4dh;right arrow
; y7 n2 r0 }4 z/ N* N

- P4 d6 C  Y6 @1 k7 r# F) m7 yje right

* Y  c. v  Y1 I. Y/ ]- {' e: p( v' I; n; m3 a; S- S
- @0 R! U! `9 @, q! D  l5 a! s
call input_byte

4 U  A9 ?- v# j& m" w  Z, B- g; \/ P! v9 i
cmp bl,1
7 O2 @6 q/ f$ |1 Z9 U- C

) X1 g9 O4 d! R2 W# Z7 zjne msg_loop
3 e* O2 ~, J8 K

3 u+ D- ?* C; o0 @0 ~! V5 M7 smov ch,ah

3 X+ v  d/ Y: u: O
( v# g* w* M& c# emov ah,0

0 x: j9 P: C$ L) n/ ?" k6 P5 a# @; M* G$ I% F- t$ d6 U
int 16h

6 \! U( {4 Q, Z) p* g  p  x0 j% V# T4 \
cmp al,0dh;enter

; `+ ?# K% `$ A) {0 G: T
' m4 o& P% L3 s# i+ M' [& cje enter
9 i1 _, U% M1 {2 w- c# T
# O8 F0 q) E, [& n  `+ Z4 b
jmp msg_loop
! ?, \0 R, ?, g. M5 {
1 _- [& m9 S* }9 {  ]; P* f
enter:/ y& O5 n/ z$ K; g/ |

; ^: S# N3 ^, G! }# y$ Scall get_index

+ [: V. C; I* L" M- S! h7 @2 V' Z. e2 c% S
mov ah,ch
! d" x% f0 ]1 P* c) ]9 y8 @
" {0 v& ~; H) D' t  j0 Q! b# d
mov al,INDEX
( E0 a% M. t6 I+ Y! Z. E8 H
0 ^1 r: ?' K7 ]# f
call write_cmos

4 ~# q2 y& R) ^  A5 W$ ~2 D' M: e1 r3 K! P

' N9 ^6 L) ^$ S# |9 l: y; Hmsg_loop:1 m! P' M" p! u+ R8 h( E: o
" p7 X  u6 J4 V8 v8 ]" @

  c( n8 M3 E( _: w9 |  z8 Pjmp mainloop
) |, B' _. s# Q) h% B  r
8 l- M" A0 L! ~( d* d
4 x$ O  {1 z; ~9 e6 b+ G# a
up:
: ^# y9 s( v" m/ s* s6 F# r: h9 p4 W1 F+ E! J
cmp ROW,MINROW
* Z' x+ _# [* ?1 n" p

9 m( q4 y8 c0 L3 C- ^jbe roll_up
+ K8 L1 l7 O2 ~1 \/ p- v5 i
3 k! u2 y( ?- Z; m- ^( E
dec ROW

* t3 B* b  B) N$ S4 x! N! L4 o' z  x" j8 [) P- O9 {$ M- C7 M
jmp bypass_up

. T( R$ V7 }1 H
7 }. i. V/ m3 G3 M; ]roll_up:. N- @  ?; h# P8 `

- j$ J5 v& F2 q7 o; Tmov ROW,MAXROW

; r' H$ r* a1 Z6 o
0 ~9 q; t( k$ I! P$ \! i( w7 @bypass_up:
$ I; h2 H! q6 y8 V/ p& Q; l) K1 o/ Z. f6 K  {0 C' _, B. c) y
call set_cursor
( f* D7 @. m- C/ _7 g9 |
5 `; _& \# Z' J; W6 G8 ]
jmp mainloop
& K0 k, \- F8 j8 A

5 f, y9 U6 v3 ~down:
2 L1 A. b# U& |9 B& Q
% Q0 Z: G3 d! e# W- O( a! f" O
cmp ROW,MAXROW
- E: _4 r; @; w* x0 b. K6 ^
: i% \5 H7 E2 p$ W- x# g2 e
jae roll_down

, S5 X" ~+ ~7 A0 }' V
0 x4 r, ~. R0 Q( O2 pinc ROW
; G/ {' G, f% M, ?& N8 m
# Q% G% \+ H% U  L
jmp bypass_down
3 n. D, v( h. C' f/ O4 O

9 ^6 Y4 F  l4 {0 s" ^roll_down:
* G* Z) H+ s  L, w0 o4 {( ~, p% F: v. A
mov ROW,MINROW

5 T) t$ g; m- [  t+ X$ |+ a3 V5 _! ?$ v. F, n' U
bypass_down:
1 y9 @2 R+ E- p; F8 _
, Y" f3 R/ U- {6 b: p1 V: lcall  set_cursor
1 K4 @& k  w0 b( v1 B

9 w. u  Z  P5 T' x8 a: o/ ijmp mainloop
3 C3 G) ?- d) P8 Y! Q

- v" r# h! g' J1 V) d% @1 nleft:
4 l! S# u  P. w* P5 i3 `
' r) t' I- T( wcmp COL,MINCOL
4 v. @2 }2 Y3 U$ o9 ?" _. i$ H

" L! G) ?% n) Djbe roll_left

) y, |7 Y! k& S5 H& Z  g
$ h% T: n8 \4 y" L) E; b* W: Osub COL,3

8 r1 x0 k, y8 N2 x3 C
' Q! n. D6 N/ v+ a- p( S( ejmp bypass_left

9 |; R, J$ U2 M5 |5 w3 [+ ^: ?: r- X* H, O0 Q" I7 M' L( b
roll_left:7 l$ C4 s" W5 l' r& w9 q  ]: l
$ R  `2 G3 f5 e4 d
mov COL,MAXCOL
- R3 ~1 M+ m. }3 B' y

5 a; v# b1 ~6 B# I  i# zbypass_left:
5 @+ ^* f" c. ^1 t
  L$ l, n, ?1 D* l# Lcall set_cursor

; R1 k, t6 s$ P7 A) c2 u6 Z' ^9 ~# L
4 p7 _0 z% P9 }5 Y5 g' Mjmp mainloop

- W3 Z! O8 [/ n9 `. e( K; _! b1 ]
3 @4 T2 C0 l) k) t. Y; p! b' Kright:% g. G: ~- Y3 N- R

- T# ^  V# l; _, k% `3 L6 vcmp COL,MAXCOL

/ h& Y7 d& O' @( M" B
* j! k$ H! M( A, O2 Yjae roll_right

$ Z. g5 L1 E( H+ f* z
: T; H& m: t$ Z/ c! \add COL,3

. A) F% A9 {2 u! h/ g- k1 s
6 o! ~: J: D  V! R2 gjmp bypass_right

( f3 z0 Q% ], N
6 }8 q" I0 @+ e! W6 D, Xroll_right:: W( p4 e; t: K0 L  r2 H6 W

7 Y) @1 @" x5 {: pmovCOL,MINCOL2 Y9 ?, _* j, l; q6 B# q  q
+ b/ [8 _( i+ B; j
bypass_right:( S$ B5 `; V4 X

) L/ d& y: p, O0 B- p# Xcall set_cursor

( @+ }& C% K/ [- M8 ]) ?) y1 N/ K3 E5 ~( W; Y4 G( p0 S; ~
jmp mainloop
4 J, _# r6 A3 c( V5 ]$ w

& ]% I# K( x# K3 y

( W% V1 K' w, f, |9 Mexit:/ U4 d! g0 F$ L7 \* }
- Y* @% U6 {$ g5 p, F" }( o( T* a3 D
callclr_screen
. @. m% N( W3 d. l5 _6 L
6 a, b2 \# T2 {: T$ W8 k
movax,4c00h
$ X3 `, y8 {2 B8 a( Q
) z5 i$ h* l& o' t0 r9 P1 ~5 W* ~
int  21h
% r4 }) ~: q) ~. Z4 s' o. K0 L

: c# s$ h& i5 W3 q以上就是cmosdump.exe的核心架构J,完成以后觉得使用asm好别扭啊,可能是c/c++写的太多了,有点适应不过来了,以后还是要多写asm,增强驾驭asm的能力,让我的asmc/c++一样熟练。最后开放cmosdump.exe完整的source code供有兴趣的朋友参考,source code和可执行文件在附件下载
9 h6 P6 @. M" w1 T$ X/ e6 R* XEnjoy it
2 Y, ~" b1 {5 B5 @# Z) ^' w' b' `' E

! t) ?" K$ d0 {# Z2 ]That’s all!) G* ~2 ^7 K, E
& q1 p- U, W8 X8 w- p' p
Peter
3 `$ g5 e$ x+ Z& W' y# {$ N
+ s# o7 N+ Z) A" I1 y! B[ 本帖最后由 peterhu 于 2009-7-6 16:17 编辑 ]

cmosdump.rar

3.56 KB, 下载次数: 565

回复

使用道具 举报

 楼主| 发表于 2009-7-6 16:26:28 | 显示全部楼层
戏说BIOSKeyboard
' ~- L: j+ _5 I. I$ n( E( A

3 f6 @" z0 v& l' s1. Introduction
# N5 Z1 {5 q* w1 ~1 P" n9 u
6 w" j* B  V$ j( K7 }1 U4 g* S) A0 D5 U, T
* |0 Y0 Z) \! [* c$ O9 [8 M" L
Keyboard System看起来好像挺简单,但事实上它远比想象中的复杂,硬件上Keyboard System需要两颗cpu完成key stroke的转换以及和Host的通信过程,一颗用于处理keyboardmake&break过程,另一颗作为keyboard controllerhost交换信息。一次按键过程在软件的层面上也要经过多次转化才能成为最终被用户理解的ASCII码。这个过程通常需要经历ma=>mv=>set2=>Set1=>ASCIIKeyboard System的架构框图如下图1所示:
& q6 h& z" F# _& ^. n& S" o
  i& Z3 y% ^7 f7 a( l0 W' p2 ]: R# L      ks1.jpg " I$ K, M* u- o* b" O
这时MB中常见的架构,在NB中这部分已经被放入EC之中成为EC的一个部分KBC,但是工作原理依旧如此。# f" M" c' |2 K6 s
$ M2 v7 k$ W' A1 y6 b
2. How It Works
7 n9 c4 y4 X) y: q5 x* ?6 ?0 v( J: s' u! X, s1 u
那么当我们按下一个键,需要做哪些动作,才能让我们看到最终的字符呢?0 D  f& ~& d- D% o4 I( D
听我慢慢道来。当我们按下一个键‘k’时(make),键盘内部的8031会将kset2 scan code2Ch, O2 k5 X7 y8 t2 T
通过上图1的串行连接送给80428042
查一张set2set1的表将该set2 scan code转成 set1的‘14h’,而且8042会引发IRQ1通知host,表示有按键事件发生。Host将会读取60Port获取set1scancode14h’,而后host会将‘14h’转化为ASCII码‘k’,当我们松开一个键时过程同按下比较像了,不过键盘内部的8031会先送‘F0h’,然后再送‘2Ch’给80428042看到‘f0h’会将Set1的‘14h’的bit7设置为194h,以表示这是一个breakHost端也会收到中断IRQ1,可是host通常不处理break codeMake&Break6 O+ M  h0 F9 t7 g% c$ x# i

, t; n, k, O  ^$ Zkey也被称之为通码和断码。最终host会将set1以及ASCII码放在BDA 之中。Host端对于键盘系统处理分为以下几类:a.字符键b.功能键c.控制d.双态键e.特殊功能键,对于这几种不同按键host处理方式也会有所不同对于c&d host会在BDA中置flag;对于a host会保存set1ASCII码在BDA之中(大小写根据控制键的flag确定);b会影响到set1的值;对于e host可能会通过中断调用相关的function
! `) s2 @3 J/ p下图2显示host的处理流程:5 E8 _& t0 o! M
          ks2.JPG $ o" \) Q& s2 i! Q

- \5 a& ], e+ f0 P5 r接下来我将分别用CASM演示BIOS处理keyboard system的大致过程代码的原理是通过hook int9接管BIOS的中断处理过程,然后读取EC6064 port获得kbcdatastatus,并转为ASCII码显示出来,有一个要注意的地方就是EOIEOI是特指8259或者8259兼容设备的中断清除指令。需要在中断服务程序结束之前向8259发送EOI指令,如果在中断程序一开始就发送EOI指令的话,中断服务程序一旦比较大,运行时间较长,可能会产生中断嵌套,严重会造成死机。如果中断服务程序结束之后还没有发送EOI指令的话,那么以后将屏蔽该IRQ以及优先级低于该IRQ的所有中断。我最初就没有送EOI,害得我调试了好久。; `, p: o% g) M: X$ R
C代码如下所示:
* h% W. v! {9 Z; \# p4 y2 y! S1 Y! K" f. P/ [. {
#include <dos.h>4 s+ L4 ~, W  I5 V$ R
#include <graphics.h>
' r# {0 Y+ X. \, q) v! T#include <stdlib.h>
. ?5 H0 a2 c" J7 F7 o* a#include <stdio.h>
# s( o( \# Y/ l+ t4 G0 ?#include <conio.h>( a" f# [" `0 e5 h" _, c
#include <bios.h>
0 i# @1 {# S, F1 M* M9 T) k5 C+ i. Q$ X& @; V! y' j# S$ o% r4 O" B4 f
void interrupt new_int9_handler(); /* interrupt prototype */
1 o0 P! y# p" H8 C* M, R; K# vvoid interrupt (*old_int9_handler)(); /* interrupt function pointer */8 U1 g- B' G! g4 Z+ m& c
unsigned char ESC_Press_Flag = 0;- c/ ?; {5 r# a
unsigned char fifo[0x10]={0};( X6 p, C+ a+ X2 {+ [* o
unsigned char start=0;
8 m: z5 t2 a1 R+ R4 u- f2 tunsigned char stop=0;
- M, S& l# }  W! R# c8 t2 J8 `) p* N$ i8 z9 ~
int main(void)8 Q! G! G2 u$ d9 m) ]
{
- z( Z0 d# m& p
' J# [' B, ~& t% pprintf(
"Used to test keyboard set1 scancode\n");
; o1 c/ X/ m, [7 o

7 O8 ?6 }  C# Z9 K$ c4 rprintf(
"@author:peterhu\t\t@Version 1.0\n");( f$ k2 S9 ?/ p7 |1 W7 h

9 k3 |1 Z" I4 mprintf(
"Copyright(C) LGPL\t[ESC] to Quit\n");

: r- P, E$ L" {7 _
$ ^: f4 |' D: x5 J* O7 ]          /* store old interrupt vector */& h1 k+ F/ \8 \+ y8 M# A: ~; s
, h% ~$ _1 ~2 G2 h
old_int9_handler = getvect(9);
$ i5 f+ R2 r* ?9 d0 F
          /* set up new interrupt handler */
4 Q- W% ?( J* ]  I2 t6 w
$ L  ?8 u- w! P% y6 }: asetvect(0x09,new_int9_handler);

! a  A7 Y& f; s* ^7 P3 n0 _2 g2 o; M$ `- |- h$ t6 R' \& @& O
          while(1)& z. k; s) }* _2 F% E6 x

4 c& U0 x% D4 T8 I5 A{
9 _1 m$ f' z" ]
                   if(ESC_Press_Flag)
$ U* }$ h- ?& T" i& s$ [# I                             break;
9 M5 J7 u" E& W8 L( e, o9 t$ ~8 Z  Q) [/ x2 B- Z4 @
                   while(stop != start)4 i6 |) z* n* J7 M+ @+ O
1 ~8 J9 B" I4 T
{

% ^! c, z3 m" O& W5 F+ B+ m
, ]9 |) o/ s8 ?printf(
"[%.2x],",fifo[stop]);

9 p* T. L3 ~1 N0 i/ H& i* d8 k1 ]* ^" }+ G# D
stop = (++stop)%0x10;
- }, Y" R; X3 Q: P- Q  I- n5 b+ h
$ n$ O/ C1 Q( V5 Q1 b& ~$ h8 b  ^
}

, I2 p+ e1 k7 I" }3 H+ m2 I3 N  [
0 P/ _* y4 I/ K0 Q# V}
! C2 ^; r3 [3 W# y* j1 i. G
- Y" y7 V9 V3 R; m. D

# j% d8 s+ C. A+ _# {. N* @setvect(0x09,old_int9_handler);
9 c4 x' Z, ?5 D& R5 X- F

  p: a" B* U1 y3 Rclrscr();
$ V4 D; a$ L, d( @3 f. c  O9 t
          return 1;( S! N3 ?& K' D8 s6 V7 V
}( {+ W6 J% O7 P- `! W" X& l5 c$ l
) s7 M+ s6 t- |/ u) Z. c
void interrupt new_int9_handler()+ Q0 s0 g: {2 q# S( T# p
{
2 L; P6 k! {6 S) R% v          unsigned char status;8 d* ]- ~  ~6 b! w/ D. u4 O
          unsigned char set1;9 l5 o* T1 S! D6 ^1 W9 h0 ^+ y

- H. K$ M/ s( |7 h& }" x+ w2 I$ Hdisable();

9 m+ w6 a4 A- m. f' \- c" v+ `2 l
( T5 d) h4 A" x9 g8 G+ ?2 k/ L; A" L9 }8 G! P- ^. {
status = inportb(0x64);

. A: L; ^* `8 o0 i2 O+ x; Y          if(status & 0x01)
/ }# F& b- m9 K1 q. ~7 q0 F% v( u( D2 n3 u0 B* X9 }6 U
{

" n$ ^. y1 ]. d1 K5 e4 H' C+ e7 |" m5 A. B
set1 = inport(0x60);
! |  _) Z* p6 A6 z$ q
0 d8 m4 y" Y9 h5 w0 Y
fifo[start] = set1;

3 A$ d8 t$ x# F1 v: h5 m% D$ P* g! `3 k( o% S4 k$ A
start = (++start) % 0x10;
3 A: R: p% B. v6 c
                   if(set1 == 0x01)
& y# b# R$ @( B; q! R9 K" Q5 F
! B( ?, e& x* ^' u- r) _: n2 T/ t4 Y. `9 g
ESC_Press_Flag = 1;
8 L/ R* [1 k8 D7 S
+ ~$ e  c+ I2 K+ Z. \7 l% d
+ t$ `% J( P1 H% u3 i& X$ N

( O8 Q+ ^' `) k6 m
//printf("[%.2x],",set1);
" X9 B" \# [# X( c. q

1 G  _1 c% I! b$ l6 w1 D! b2 N}

$ V2 t3 ?, V# D; c5 d& P* K$ A7 l9 i, k1 R: f
outportb(0x20,0x20);
! J& M( R6 C2 i) v8 r
$ N- e! P7 Z: R4 R, G
! ~# f# O" a; t, e& O6 T
enable();

* L; \' K+ I" F+ D; L3 n}
! a3 I+ s* _/ R" e& x8 {2 R7 {. I6 i  ~& N
ASM代码如下所示:
& g# L4 S. h0 u* `$ C; _, Bdata segment
: g& I. n) r7 C4 Z2 U/ g- v% P  l
! P% y4 m2 @7 e4 m; Q' j7 u
SET1 db 0

3 L+ ~& ?/ v$ s6 lH2A   db [','0','0',']','$'
2 u/ r) i' @% e7 O
MSG  db 'Used to test keyboard set1 scancode',0Ah,0Dh,'@author:peterhu',09h,09h,'@Version  
7 R9 v) u5 |' X1 M0 Z
              1.0',0Ah,0Dh,'Copyright(C) LGPL',09h,'[ESC] to Quit',0Ah,0Dh,'$'! {" X8 J' I. v( X$ e/ {
OLDINT9 dd 0
+ f4 l. o. |7 n2 ~7 PESCPR db 08 N' P# _- d! Q% U5 O* e+ f# o
( y4 W7 j7 M$ w, f% K  }+ J; r/ s2 b: ]6 |
data ends
$ c: A$ L1 D- y  A5 P
, E* x- M& X" E4 b2 K, i1 Tcode segment
  W' j5 U% r5 m: d' K5 D  b1 l1 J2 m3 n# u2 j2 G) Z
assume cs:code,ds:data, B4 l; D: q* Y8 ^( ?! X7 B

( Z1 r; }( i+ T& r! p" X, J
start:; q9 a9 ?) g; j# q
) t  S7 K/ {) S% r' s3 a
( T  y+ ]" Z; D* s
mov ax,data

2 K# y% }8 r2 ^* a! u3 c% Z+ N' i0 u  b( Z2 v
mov ds,ax

3 R* E' D4 ?4 Z- s* ^& |7 F. I6 q5 S% M
mov ax,1ch
  q, j5 Z) @' p! |! X$ T. X8 U9 i
9 D) W& z3 x) `7 w
call hex2asi

5 q% y$ i/ A3 Y7 V% O! c8 F8 u& p5 S7 M0 f" |6 o
call show_set1& p- d% U) x( E0 ^

' r" ?. C0 B) v: i: e0 ncall show_title

7 \7 P* x, Q3 N* c7 R6 u) _8 g
, f7 y1 p9 F+ J* T$ W) qcall back_int9

' L) O. e% a  i. V$ y
) T. c$ Q9 x! x% G4 O" [% c: Zcall install_int9
: T+ `! ~8 Y! b" T8 }& S

9 \8 G, `" w) X0 Z8 r2 ^l0:  X- H5 V$ F, r7 F
/ {% z% C7 V# ]# d
xor cx,cx

/ D/ S2 R7 ^% J& Y  ?1 ]( K2 X
2 ^/ h- _0 I; [6 omov cl,SET1

6 I9 r: y. W7 o' W* _# C
# K' s3 }  o  n* k5 Z9 `1 X7 P9 Hjcxz nokey

% b' S8 Q& T& S; G0 q! ]* ~% Z4 p" w, V+ T3 n
xor ax,ax
: I* s4 S8 d3 R. E* `
6 D4 G: g2 K. D2 z1 s
mov al,SET1
9 f% G7 v/ |2 b3 k3 U' v5 R3 c0 h
, {7 O( v; o  A
call hex2asi

" `: `0 z9 s# ]4 y. b0 I1 C, J8 d
call show_set1

8 }( G3 y7 \2 c' b* @& l+ j. [/ Y% }2 o. |+ ~4 I$ e
mov SET1,00h

$ ^& ?$ ~: Y2 S. o4 k" X/ e3 @: l0 E2 G' d
nokey:
. U4 _, t% [- {% B, _' X1 q4 z# e% o" w3 N2 d0 y
mov cl,ESCPR

4 L1 s# h2 |3 f) t
. f% K* q& O) F) ]: c- H/ k) ^jcxz l0
3 E! C4 O; i9 ]" |* F: J- E

# R( c! x7 h0 B8 _
call restore_int9
6 M; J* p6 L: V6 q( z# b

# Y) F! l/ L6 W% e4 j2 umov ax,4c00h5 O/ h9 O0 k; R; |; ]; X9 F
& d6 W1 f5 J2 g. h0 H
int 21h
9 J# N7 k- @0 b+ |

, Z$ T4 T: _) ^8 w( E;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
1 S$ p1 Q& ^! I( ?& C;;sub routine for store and show set1 scancode 9 n5 z+ i7 g9 Y
;;for keybord strok maybe something error :/
) b7 j9 \' y9 G;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
) q+ J% }! P0 ~1 W: M, F, v1 h5 s& l0 \/ y
int9_handler:6 r. G' e% V) I
cli! z+ l8 }3 U2 _, _" u6 `7 g

) q2 s. d& T- O2 L2 Y$ L7 Qin al,64h
& E. {8 u" q! P5 ^% H4 N7 U
5 B8 g5 a7 D# k6 |+ jand al,01h
# E) F* ]7 f9 ]- B( _

8 D; _! l3 X2 T0 g6 P- F. ]* @cmp al,01h
" g! s3 t& w6 N  D
$ G) j- ]; C: Z+ {( l" v
jne exit9
1 L! `' ]; @; G3 h: k1 S

! C" Z4 e  T5 \/ u( q. Cin al,60h

& N9 m; I- d  f
6 d- P  s; Y2 @8 C! ]mov ah,00h

% j) |7 \% n. U) F: F) V- V# I6 x5 D. v, g
cmp al,01h

7 R3 n! P0 Q0 g! S; D( d
" R& T, ?4 g/ m* ?( n6 d0 Hje escp

+ Q' C$ }8 s0 Q
6 F! U, u! E$ \; [jmp exit9

9 ~( w; G8 C6 w
& L6 D/ h; T5 R' a* cescp:0 V( z- s8 i  z. j$ y

! H' E3 @* x# D+ zmov ESCPR,01h

+ I& o( E8 U' T  O. s+ P* j
% y% k1 Q( j& F9 K% A; G& l. T* l; sexit9:
; V4 A2 m" E7 M9 `, A$ L2 G3 C. w0 ?, A( d7 S9 q+ P
mov SET1,al
( b5 [8 T4 i! c% v6 u# w2 e! U" O

9 v# X. s. b; Pmov al,20h

8 g6 b' x# P5 W; B7 g. c8 n2 X2 N" i' g/ t& s5 }' [1 q. w2 W
out 20h,al
' k' D3 c/ z8 B! U: u; d
+ W( ]. t! ]  t1 a0 Z1 I
sti
  }' E) S. Y: w6 b& M4 P5 g
2 r. [7 ~! L5 i1 T7 ]
iret

# i* e, l; B- \1 o( ^/ N
. c( {4 o3 G0 q: H6 F
$ C* Z& `5 m- B/ g& A" M
back_int9:
7 @7 q5 S8 _; m3 r* f3 l
6 E5 y7 }; o0 Q# ?, O% L  l

" N" z) }3 v4 g9 S% Rpush ax
6 V' f! e- R/ F, u5 m- p! u
/ X4 F8 I' |# t* i, w
xor ax,ax5 R; D7 e  G% g) @9 F$ }) K% P2 K
2 O) ?4 h  n2 c/ X
mov es,ax

/ F/ B- P# e1 ^
, c0 R/ _* m7 J! k  I& j5 M' ]mov ax,es:[24h]
. r+ Y8 X1 g* p! x+ F' V6 g/ |
8 C8 a9 V1 I( w* _: y! d( `
mov word ptr OLDINT9,ax

' ^( Y% p' K5 s' w- l
! H% _- b" f6 K" i% m9 qmov ax,es:[26h]

2 Y6 x' j/ @; ?# [
) ~0 x# D: \* o! \4 ?( K2 vmov word ptr OLDINT9+2,ax

' t- @; ?, D. }* D7 t2 O7 U: P' t  M5 _
pop ax
7 K$ v. h- U% ]8 s7 b& i" v0 C; C

: h8 p1 q& g) U% nret
4 N( W9 n9 d9 P  I/ D
, R1 Y8 p9 `( S/ y
restore_int9:
1 t9 }. u5 z0 o" Q* t' S
+ F7 z' q7 ?9 x2 {6 M, q% O' t  W7 Y& D% l  J7 ^) j3 u+ X* T- p+ v
push ax
( j6 ]9 f. Z2 k* a# G$ p  e
" y: t6 l8 ^2 Y- y+ @
xor ax,ax
5 p6 i9 U7 i8 E$ G7 F$ V0 k2 M

4 \0 o, c3 y, k! Mmov es,ax

: |  T+ m4 n! d) I, q& k" q) g% G+ [# W: q% p. T* U
mov ax,es:[24h]

. ^/ g; x9 L& f0 p( A$ V0 a0 \: W0 J1 H  k. F. L4 `
mov ax,word ptr OLDINT9

+ s0 r) U( |! W; r% k6 e' r
3 U: p, Q9 w" I# b1 w  }6 o7 ^% `mov ax,es:[26h]

1 `- a/ V9 e. o9 x9 g2 z8 N9 `
- y+ U8 O+ i* u/ Z' z, Zmov ax,word ptr OLDINT9+2
' ^! K( }8 T' P1 t1 J* L9 P* W+ ?5 L
- B1 b: H  ?- H1 ?6 v+ ^" W
pop ax
1 f8 n6 p7 F8 g: H
6 Z% L  o3 u+ N+ l- s
ret

8 Y' h4 |) p) S; y8 L, a7 j1 D4 E7 q7 u3 ]* z5 {" ]) e# A; A
install_int9:
  h, B' z; i( B
! _+ e9 d2 |( S1 Qpush ax

) H4 H5 I4 [& J' A
: G9 E  ?3 c( Y- F, {push ds
1 @0 J- b% Q. ]+ Z9 b0 ]( T' E' ~1 C% u, [

& R' N& T& j- Q+ H( }push bx

7 f  N0 d. A2 g6 o) O; P& \0 T" {% \2 F" M% K+ U  W
mov ax,0
2 W9 @# E8 X1 \. I0 J1 c" E5 S* \- p/ `, J
mov ds,ax
( h( |, p+ x2 c7 B" w. m( S

, ^" r  N7 Q8 ^. t9 o. Wmov bx,24h

/ a! j1 f% y: q1 _
$ b' r* U# {6 gcli
' _" _. }2 U4 U) F

5 p. o6 A, y$ J: Amov word ptr[bx],offset int9_handler' K( _9 m! }8 \5 b! h" o+ u

0 U* b. d# d1 u% P1 ~- Dmov word ptr[bx+2],seg int9_handler

* H: W) \1 L1 `" D5 ?: j# U
) E( U4 i" C3 Bsti
" [7 p6 @) k9 y5 B

9 z. E8 B. R3 y, R3 ipop bx

' _. o% S' s7 j" [: n/ C
3 U! {1 B) u" q- K+ p% i' xpop ds
0 }  t$ @- B& k$ }

3 Z7 w. V) L2 opop ax

. w9 B0 K( i7 n1 j9 w2 I! G: v2 Z" s6 x+ H' o! j
ret

5 x" [& ~0 k' I
5 B9 Q% n. D: c$ v$ Qshow_title:
* }( |' |4 g- B' L$ x
* A% ]" `# d: O0 Z2 xpush dx
" f" {- C7 s5 O1 e" f, p
" U4 D( ]7 C% S  ~1 v  e
mov dx,offset MSG
, {. X% ]6 G: J0 ?2 O
1 y' O" Q/ M" @  {% w) h
mov ah,9

9 K% M0 j, y# p! H+ K3 y
& [5 r# B9 V' K# Bint 21h

( ]/ ^" ~: v; g5 i( R$ X
! x- `, ~2 r! J  d* C: |pop dx

6 r% u! _; r4 M0 z. b0 U
) u% p* G, u# pret

7 `3 j+ f; T( @  ]6 n" T# \
9 G" e. V) C" Z% b" xshow_set1:
* X) n2 r- S+ I2 V& W0 H' z8 a8 E( |; d5 S$ ?* t& o0 Q" n
push
dx
% H4 G! M  m5 k4 @4 _* |) }; ]
, B$ F  T, g' t& T* m9 Omov dx,offset H2A
( U! \! S0 c! y* N0 r1 E* ^

: J( X3 k. q; r7 U0 o# H. |& q$ Umov ah,9

* x1 [/ Q* o1 e( Q9 D
' w  s' P  B& g$ I7 h! xint 21h
9 E; `7 V" a1 }8 k, Q2 L& E4 d" ~
2 b# o3 o* B8 Z$ }, D; a. o
pop dx
  D9 h7 @6 Y$ W- k1 ?7 q% R
9 k( z" s3 q6 \; E1 R1 H6 [2 J5 a
ret
* n( r5 Z: q1 v6 o: o3 D; ~
" D& o3 I3 g, Z# p

; @7 m% Q' `) n8 vhex2asi:+ u, g( \' n8 c6 t" G
5 H. o' X+ o# @4 Q- R( H
push dx
3 \; J2 @, i, L" |* ~, f: B2 }

5 R) {# h+ `; [# D) b/ Q4 rpush cx
! Q; M8 y$ K5 L. k+ _' L4 `) e* k$ @  m* [# \+ \
push si
; m! g2 O7 |  s

* j# w; r7 B  l& u7 D8 B9 K1 bpush bx

) v/ t9 V5 X% Q
: W' j6 t, f% ~0 j8 \5 g# Xmov bx,0
. N1 N* D. s$ C# t9 ]
9 ?% ~2 S! j, H- r8 X- z
mov si,offset H2A
0 g5 L! b3 K# H
8 b( f( i/ E( D' j
mov byte ptr [si+1],'0'

$ |) y" B3 l' ~5 {8 x3 Y# k7 {
mov byte ptr [si+2],'0'

4 s2 ~1 u- ~& I. ?4 ~/ ?+ p! |. L4 o8 f- h+ G. V! V6 d
ha1:- f# q( e8 z1 ^3 a* B1 t

* _7 n9 i. M* s: `mov cx,10h

. r5 V- l) p' y$ f, ^3 `9 n
/ q2 G2 d& z9 ], S4 [7 Rmov dx,0
+ {$ G% a# n$ P7 D  q

6 I* g1 d) ^  W5 H0 ldiv cx
7 j, E4 h, ?$ R& J4 F
  f0 B9 {3 C% P8 L" g! d$ Q) T
mov cx,ax

: A/ S! O; o/ e6 l: @$ Y' @# N* ]5 O
jcxz ha3

  F# G# C! u% q' v2 Z" [: R4 M% `' {" K0 B8 l5 ]" k
cmp dx,10d

9 C; H/ v& h9 V- O$ D" i: P- \7 o. P3 v% H9 ~
jnb ha2/ m; h# a1 A# j& w

# `& K4 e- u( [0 Y/ Y+ S! \add dx,30h) P$ C/ e! A8 g) ^% m; P0 l

" Q& y* L$ `6 l  c' `6 hpush dx

- S& P+ l! m: F# x) t, j
; X! r# Y' d1 W2 ]6 ^inc bx

# a, Z$ f1 n+ a' P6 f  ]
" G, y# R. k2 }7 ?' w( t, kjmp short ha1
0 f+ f7 `) g7 i" A3 _  i
2 w! k2 M) f$ @9 \! R# I, L/ A) [5 g
ha2:4 x4 J3 O. A" R# H* o. o' f
  L! Q  r) e- r+ H8 u4 H3 C& A" Q4 J
sub dx,10d

1 [' @' |# u2 `- M" f* W
% a( w7 g2 u& Q( z  I& z: n. `add dx,'A'

: J0 n7 ^) J- h6 T: h, Z! k4 A( r$ y0 ^& D4 x9 d7 y# a" [/ R
push dx

) j, V* o* F* K0 j0 O: B' R) C( J6 u) R! v# _' H9 b. z
inc bx

% M+ x9 a9 M( f! a
3 n% t/ w$ P( N6 @! Mjmp ha1
# e. X$ e6 W3 t  B; J4 o& e

) v8 i+ O: L# Q  f6 Z: E  ?ha3:9 ?. A/ h1 t) S. v4 ]5 x6 L  F

* y9 t+ W# F7 V3 Q  `9 _cmp dx,10d

* \1 Z) W- @9 Z! O/ `
7 ]4 L, g2 C) Y. e- X( Jjnb ha4

# K, X8 G/ g0 E) l  h# N" x3 H: t; }% S
add dx,30h

6 o- r4 Z) F/ O3 a/ y" o/ L; H5 \
4 P+ B& L% \- \) u$ p) H8 M# G) ]; Ppush dx
- \6 c6 _! _/ K& A

/ J" F3 A6 G6 f+ dinc bx
$ K0 }8 m0 Y' A2 d, @0 _
7 |" D: ?, D; p7 V: t
mov cx,bx
, I' q7 D0 C2 K% j  H2 J. d  ?

! v5 k/ L! r7 f/ ^# fjmp ha5

8 ^. R' H% ^1 I1 L$ {  V3 M' |+ ?- Z) }- }+ Z
ha4:
, @, }, ?! M/ I: ~4 V9 v8 T
) }3 S% }% ~0 t3 c
sub dx,10d
' R  b- t) }% R& x( n" i7 W
6 I/ @+ D- g$ `- p7 R+ Y
add dx,'A'

" `  y4 }1 K% D5 {  p) f' `7 t* g' t' j6 H; u8 u
push dx

, s. X$ X  @" U7 x7 j+ C% R% ?: H0 Y% _  h" F# [
inc bx

& x) g, \: y$ E# b* U; z7 p" t5 B7 m: O% Q/ l' s- c  J
mov cx,bx
* b7 Y9 [$ u$ o7 A, Y1 N7 t6 X

1 v7 w- s3 v1 |jmp ha5

- N% V1 @( F, K" t9 v4 ]( r- j
9 w* Z+ O% V/ m1 k4 Mha5:
. y; Z. K" h0 a4 V4 z$ opop6 ^- f1 `3 Q( l* I* A
ax
* ?2 t1 X1 F1 @: E; f
; S* ]( i/ \) k9 R& n( n
mov [si+1],al

8 M# ]( e5 v/ b+ Z9 ~9 S0 m, w; u6 Z5 B/ V7 N/ i+ L
inc si

9 Y7 l6 D# f7 J4 Z/ t8 E: Y, S8 V1 X# R' H, P4 [4 Q
loop s4

- a' d& O1 w0 ?& b3 U
" p! ^8 K/ M% ?( g3 W& [1 _4 C7 Jok:" J4 e9 v7 a: j# K* q
5 {- g/ U5 m2 ?- |- h* J1 S
pop bx
. J- I( {$ J5 h0 y( g% s% Z. d

  O& S3 E( v0 Z4 z; g5 M3 [pop si

; t+ U/ p' s6 q* M8 t' T4 `: ~
pop cx
# j5 @# P" X. S8 z' E
, O* M, ^1 t4 B- Y- q7 X
pop dx
! f3 \! C0 {) s

; }! B  J! ]- C+ d: X8 hret

" h( l3 P. i6 m/ A" W! _+ {, i4 T# K0 u/ [. h
# X7 k, |: _" L
code ends' M2 i5 l4 M# J# O+ x  r
end start. p" d: Q$ j3 ^
上述程序运行状况如下图3所示,一旦有按键动作该程序就会显示set1scancode(没有给出相应的ASCII码,凑合着用吧J)上述代码可以在附件下载/ h$ N& S2 d# w% x4 E# i3 P8 a

$ q  X$ Z3 P! x- ?; I- g* J+ z7 a
; d3 s( ?. O5 @# u$ X ks3.JPG
! `* t" V! c6 b) v3 SREFF:; R) r: T. H* b& Y: _6 M
<<The Undocumented PC>>' l3 @! V) R* F) Y! l# C: r
; y) m# ~, x. X* ?% l
Enjoy it!' N6 u" o# M; J# P# e5 ^
That’s all
% I3 b, _* U. a+ p) ]) i% G4 N  S
7 c: t1 U9 H3 @Peter : Y) ^; v& f, U* T0 ]& m2 `
: [3 z& x$ _, d- z. ?7 G- c
[ 本帖最后由 peterhu 于 2009-7-6 16:36 编辑 ]

KeyboardTest.rar

2.07 KB, 下载次数: 471

回复

使用道具 举报

 楼主| 发表于 2009-7-6 16:41:36 | 显示全部楼层
戏说BIOSBeep
- {  I0 q' }4 U' N0 g/ V
2 n5 k4 U0 V4 p/ |
1. Introduction: x6 r6 s; F$ ?9 c1 L2 C/ s  B" u
6 d' r' `& t1 n1 F; C1 H
大凡用过电脑的朋友都应该听到过BIOS的报警声,有时PC开机的时候就会听到嘀的一声,有过修理PC经验的话就更清楚了“一短内存刷新失败,二短内存校验错误,一长三短内存错误,一长八短显示错误”等等诸如此类,可能各家的BIOS定的规则不同,但目的都是通过报警音获悉系统运行状况,找出病灶对症下药(有点像中医诊断中“望闻问切”中的闻J)。
! V& {; U& T0 p7 ~1 |, W0 ~
! p: y( f( f; A2 i3 W
2. 8253/8254 1 k5 {% A; o5 w/ Z* @
/ f: |. M+ Y# J$ }, j
Beep声我们都听过,但是有没有想过这是怎么实现的呢?带着疑惑随我开始探索之旅。提到这个Beep,它可算是历史悠久了,追溯到IBM的第一台PC那时工程师们可能觉得pc功能太过单调枯燥,于是他们就祈求上帝给我点声音吧,于是声音就有了J。他们将一个简单的扬声器加入了最初的pc硬件之中。光有speaker肯定是不足以产生音乐的,因为音乐得有音调和节奏才能组成。虽然我不懂音乐,但是我知道一点就是声音的高低和频率有关,所以还要有能制造频率的东东这就是8253/8254。既然提到我就大致的讲讲8253/
82548253/8254是可编程的定时器,82548253的增强版本差异主要在可以外接clock频率不同,其实使用上无差了。8253有三个独立工作16位的计数器t0t1t2分别使用40h41h42h port去操纵,除此之外还有一个43h port用于设定控制字。三个计数器分别编程,但是在使用之前必须先配置控制字,控制字主要用于选定哪一个计数器,选择计数器的工作模式等。控制字的格式如下表1所示:
/ r( f- ~) C( _  D  L; P5 c
5 O( Z+ T4 A$ s& o2 M) ?4 n
Bit 09 }, r, P* }+ s. M2 u1 e
计数值格式 0表示binary1表示bcd4 R+ E: r8 r$ Q! l) G) o( X% }
Bit1~3
( |' P! V) i! F% y
模式选择; P, z* f7 m7 k+ f; t, ~. J
Bit4~5
: J) N1 N6 l- G# D
读写指示+ n; f2 E6 q8 ]9 [2 A; ?  j# ?
Bit6~7
& Z) p7 [! c& Q* w( `
选择计数器# s7 _2 N- U9 ]. {
$ G7 f5 g+ p4 o. J
             表 1

' y6 A6 }$ q# N
- C' R3 Q5 H( C% P其实这三个计数器在PC内部已经规划好了功能,基本上不需要用户参与了J- [. S+ o' j& `
t0:用于系统时钟提供定时基准,它的输出端与中断控制器的IRQ0相连。
& W' L3 s, A" j* F0 W% Qt1:用于DRAM更新的信号,每隔15.2us刷新一次。
; X5 k4 f+ a6 o7 n/ }( c. D- `! S# zt2:用于控制扬声器发声,作为speaker的音频频率。: h+ {1 c# j7 s7 t) y: m: Z( Q
所以我们知道t2用于提供speaker的音频频率,驱动speaker发生。这个部分早期驱动电路如下图1所示:: f4 U) c, r/ m, F) w5 ?
+ x$ [9 y+ p" S) N
. k: |0 `% x' U, v7 v& C
Beep1.JPG
4 c5 J3 f6 {) m! m5 H
由上图1我们可以看到61h PB0控制T2gate2,也就说只有将PB0 pull high T2才能工作。另外PB1T2的输出端OUT2经过一个与门运算然后再驱动speaker,所以PB1也要pull high这样T2的输出就可以操纵speaker频率了。图中的61h是没有介绍过的,那就再来聊聊61h port61hXT系统中集成在8255之中,8255是一颗可编程的外围接口芯片,61h对应8255port B,它是一个8 bit IO port,每一个bit代表的意义如下表2所示:
7 r' y# S2 y1 w! N% J# ?" m
" Q9 u/ k* n( }; ^  h% \
Bit0
: q9 I# X2 ~+ u
t2 gate2 控制位; F5 @3 U, W$ l8 s/ i

1 [9 }7 y+ C7 C( H- f: ]* p! i
Bit1: \. y# F! n6 W3 R& x2 g* G; }
Speaker 控制位
/ B" V7 k# a" o* t+ Q4 H7 j: \
Bit2
( Z, s. u1 ]- V5 b# s' k
DIP相关
: v" c8 m2 r: u3 _& k- p
Bit3; V. e0 e( ?+ _. e4 o  P4 A
录音马达2 J* p- _: x: Q1 O7 @
Bit44 l6 S* ]( H- l2 N, y
RAM同步更新检查位/ H& [2 R) Q* q  D4 j5 ^+ X: G
Bit5
4 R: y1 E3 \! {8 D
I/0通道检查
" ^' }/ i- s, T6 u
Bit6- s( J, _! x/ }# N5 \$ O
Keyboard 电平控制
( m; L5 k) O. V; S8 _% b0 R  V
Bit72 U8 i, U0 }. a9 u* T
Keyboard 始能控制& C& X5 U& i) Z( S
, R* ~& A5 s8 B& [
             表 2
! I9 o( A. W8 P( R5 ?% r$ Z' k
AT以后8255已不再使用,port61h也使用别的IC代替了但是它的主要的bit功能还是保留了下来,所以仍然可以使用I/O指令读写61h port9 Q0 j- y" V& C7 d
; J6 q1 E$ X$ }& t
3. Beep~~~~~~6 D# Z* `! \, X# d2 T3 b

- b# U4 r. ~: B1 \知道了以上的知识,我们就来写一个“一长三短的内存错误”的报警声玩玩咯J。需要做的工作有三个:a.通过操作61h port始能speaker inputt2
gate2b.操作8253控制beep音的音调;c. 音调保持一定的时间(也就是声音的长短)。我们逐个的实现上述功能。
# a. o, U. M0 R( p, o3 aa最简单只要将61h portbit0&1 pull high/low即可始能或者禁能,代码如下所示:
: h! h3 H& e7 s+ f;----------------------------------------------------------------------------
; Y! }$ Y% U2 z

8 U+ f1 P  ~6 j8 [. @/ v: P;speak_set0 E# a* V, Q! e1 W4 }: |+ d. v2 S
;en/dis speaker input control&t2 gate2 control
/ J1 P: J9 {$ y# k( @; y" K) U! L;called with:cx' @( V1 O5 t7 a) m( G% \( }5 w
;used registers: ax: O4 x" M! B0 A5 v: k  G3 Q
;-----------------------------------------------------------------------------  H8 p0 g4 t' I8 M& g+ l7 o
speak_set proc near- U2 E6 a! W* W0 |/ @
9 a6 S/ z6 p7 q# y
push ax* P2 s7 a4 d; I0 i- g0 v) Z

3 `- [$ v1 D0 w9 ]1 R6 ^in al,61h

+ d. Z1 S; d# p" W7 H
! |  K% g6 e# V+ d, n8 W1 Vjcxz se_d
8 k, ], ^$ B. o2 G
7 e2 R: M) c& V( [
jmp se_e

" x) S5 x) i, S3 l; y# F4 @
; O7 y8 A/ J7 \: {- Kss_d:3 J. T0 a' U5 \7 v# h
  J+ {$ |. m( N- _& W2 Y" _+ G
and al,0fch
( ?3 ?2 G4 [, o3 Q

( Y1 j+ y( }( A! N! |6 Zjmp se_done

" o7 |  ~) V: ^: [' F3 o% l& S3 U0 f3 e& O' }; K
ss_e:
4 }; S* g. S/ `% R0 P3 _6 x" s2 b7 ~
or al,03h

0 ]$ V. O# U/ |3 |% q, R9 d
: `5 y- x# }! \6 [1 h( R! Sss_done:" Y. ?1 x# C3 x9 w( X
7 g! O6 r3 x* k' U$ c. \" y
out 61h,al
' N/ M( k/ y. k# d' D1 Z; s# S
) a8 `, Y6 [4 v9 l% _3 S/ V: Z& F3 C2 ^
pop ax- y' J$ _/ A# s( {
6 D' O8 U  f7 m# P% [9 S
ret
" K; z, s* G9 c4 m1 B8 W
2 O" ]( \$ d7 c
speak_set endp
: F' v' x& z& O2 \5 s3 V3 _6 C% X

- z, h( f% l' [) p3 H1 `% v8 Z' z" \  J0 X1 A; X. z* V& P
b就需要设置8253计数器2的模式工作频率,操纵8253的步骤为先向43h port选择所要使用的计数器以及工作模式参数类型等,然后再向42h port装入t2的计数初始值,代码如下所示:8 `& v/ ~3 n6 {
;----------------------------------------------------------------------------
) E& C, y# Q/ `! V" R; J
& j2 ~; w2 c  o- |
;t2_set
9 }' I7 B! |5 P;enable t2 & set work mode & out 2 frequency
1 }/ E& j. l! z. p% t1 [;called with:di(frequency demanded)
5 r4 f. W' {% {/ g8 k+ b) t9 i  f;used registers:ax,dx
" H/ u- W. y+ h) @;-----------------------------------------------------------------------------
" r% ]& D( l% U7 ~: \+ Nt2_set proc near& K7 n7 S+ d1 S/ K/ G  v2 N
8 j( {: s7 B4 ~) V
push dx; Z$ `( s; I8 L% o

& Y. f  Y. |: Y  p. Xpush ax
' Z$ ]9 g0 e5 _- w% N  w- w* l8 Z  `

0 T. }2 q& S. e4 `mov al,0b6h ;t2 lsb,msb,mode 3,binary
! h& g4 ?( B. [# X$ D+ y  g
  g# w  l% N; B7 S; t) \& J
out 42h,al

% }* Q( g$ c! |2 p$ b1 @  X! ~; n( p4 Y  G" c9 @; X& w4 C0 B
mov dx,12h
: ~1 f/ x6 w2 |! H% P! V- H

5 Q+ [  o4 z; L% ^" ]mov ax,348ch
( ?: J; G# @$ |) o, C
8 O. x' Z$ n) I3 ~, l/ V0 p/ l
div di

, L# G# l& I2 L) c$ L1 q* p
# t- ?4 o; v0 wout 42h,al

4 |1 I4 ~& c+ W$ V7 e. y5 G5 }" J% L7 r- n" j' {# r
mov al,ah
$ y7 }0 ?( b8 O

. f( o- b" y' n, Z, ^- mout 42h,al

0 Y/ U  O  E% e% s4 _, |  G9 b2 ^- j, a+ n% g! Z/ W
pop ax
* W* G! U; D1 X) q& C9 w2 g% ?* k" D, I0 i  Q$ }( s2 a& t
pop dx
& P7 @0 X0 C6 }, P( E* H8 w

/ a  C8 }$ K  c" @. Jret
! p1 g9 ]1 I1 P

/ K# n8 @9 w- @t2_set endp
5 h7 C( q$ o3 _; o! s6 \
- H# p( Y, S3 K& q0 k! g% Kc可以通过执行loop达到延时的目的,可是loop延时和处理器的类型频率有关,不同种类的cpu执行同样指令所需的时钟周期不同,就算相同种类但是主频不同的cpu要达到同样的延时效果计数的基准也会不同。那么有没有精确延时的方法呢?书上给出的答案是通过检测61h portbit4 ram刷新检查位,每隔15usbit会发生一次变化,所以检测它可以获得比较精确的时间(我猜测这个bit会和8253 t1同步变化,因为t1的输出脉冲用作DRAM的刷新定时信号,而该信号要求15us刷新一次)。延时的代码如下所示:
6 h$ ]4 c3 K! z# H! V  A;----------------------------------------------------------------------------
; P, H/ M0 C: j; R( ?

0 z0 C# T1 @7 x% d;delay. {8 M! s* u" [: ~
;delay time base on 15us unit
! c* _+ _7 q9 U;called with:cx (counts of time unit)! r+ [# z4 D5 e/ t0 f9 z6 T
;used registers:ax2 v* Q% f# i6 w' Z' ?
;-----------------------------------------------------------------------------
4 p3 {+ T0 U$ n: Xdelay proc near7 Q# A. W: l# @4 S
2 C5 m% s; Q8 _, ]
push ax
1 H, b* m, M+ W: B" r  W4 b0 h2 e- N
dloop:- q" f4 K1 k3 w; v7 e0 B
" ^7 q& \9 i* y3 W- ^
in al,61h
0 `' v: Y  A( Z' i$ u# [
3 h' ?1 [8 ^4 ^& @+ ~; t1 t+ Y
and al,10h

9 r7 V- s0 f1 r# Y; O/ P; b7 u" {" w/ t/ M
cmp al,ah
' o, ^9 w" ]% \: T6 C$ b+ J- E
- U& P% s! g6 ~7 M0 o' t
je dloop
1 }5 Q" R- F5 ^3 V; J5 _* @3 C/ q

! c6 ^' N  q. r9 \mov ah,al
, l7 G' J3 F/ i  |

; n$ N' T4 r9 \; `; Z  d  C7 c+ F! Tloop dloop

# S; y; e; k7 Y
3 |2 v+ t, U; H6 K/ ipop ax
; z  \* g" J0 h, c
9 ^  }9 @; ]0 w1 D
ret
; c. K) S- ]$ A8 \5 r& e  E  b

: A+ T3 l6 m5 F# n+ {! Edelay endp
+ T$ V$ M+ j3 R1 |" N3 H7 ~! M: W$ s% b) ]7 h8 i& [8 q% w. ]
以上就是beep的主要代码了,最后开放完整的source code供有兴趣的朋友参考。9 b4 n/ |( W" B" Q" M* ]
+ h7 o, l+ d! @7 J) U3 \
REFF:8 p# g* [9 z6 _& b( X- |

1 L$ T( j; l. ^1 P, K' V. e3 p7 H" E5 i( j& H4 X+ ~: r4 F
PC硬體元件控制詳解
0 p! m% q9 j- k0 N+ ^; O) z7 z
4 F- h- n3 S$ ^+ h8 G, U- tIBM-PC汇编语言程序设计》
( \" Q7 |. D, m+ T

% G2 }% p2 i, M3 w- F9 ?3 o/ l" U2 {$ S6 w1 h9 P

* V" ^' h) k2 aEnjoy it# `' _8 h8 p) c4 U+ T2 R2 I
) \1 M, g6 k6 D  Y+ u9 B. v3 v; g

/ Q9 i) b3 Q9 R+ S& t1 mThat’s all!7 t. A' B9 ?8 @& i# Z' |

+ @( |! X4 l6 T6 a! iPeter
: J3 E; f; R6 ]' l+ B9 \3 I  J- J  c( R; D' }, f- d/ T3 R; x# Q
[ 本帖最后由 peterhu 于 2009-7-6 16:47 编辑 ]

beep.rar

945 Bytes, 下载次数: 467

回复

使用道具 举报

 楼主| 发表于 2009-7-6 16:50:33 | 显示全部楼层
戏说BIOSPCI Scan

! A. c1 h, j* U- a! Y

/ Z$ o/ h8 d8 }* E; D1. Introduction; H9 n5 C, s- X9 G7 P4 n
9 `" o; ^$ U+ d1 _% v1 J+ ^
PCIintel公司在1990年前后开发的,后续经过若干年的发展以及标准化,它已然成为server&pc上的标准总线。PCI以其出色的设计以及不错的通信速率在计算机领域攻城掠地,不断的取代诸如:
MCA,ISA,
# K4 L8 e1 e0 m7 z' p' QEISA,VESA,NuBus等传统总线。PCI相对于传统总线有非常多的优点,如:1.它是数据总线和地址总线是分时复用的,这样减少了pin脚节省了空间,而且这样也可以方便实现突发式数据传输。2.它是即插即用的(plug & play),当device插入系统时,系统会自动对device进行资源分配并加载对应driver,而传统的ISA device则需要做复杂的手工配置。3.中断共享,传统的总线有一个致命的缺陷就是它们是中断独占的,本来系统的中断就非常紧缺所以增加新的device会出现中断不够使用的麻烦,而pci irq routing机制使得不同的device irq共用成为现实。可是技术的发展总是长江后浪推前浪,前浪死在沙滩上J! PCI又逐渐被更好的总线PCIE所取代渐渐退出PC的历史舞台,后续我会再去研究一下PCIE2 U2 I" l( S0 I, r! D* j" i4 R

2 j3 C1 I5 \( X  U4 I. F1 [4 L* m2. PCI Arch8 s* {1 [' N3 H) N5 P7 d+ I

( n% d$ e2 d9 B可能是软体背景的原因,因此我看PCI spec也会习惯性的使用软件设计的视角去理解PCI的设计(我觉得有关设计、架构的理论应该是相通的,正如软件中经典的design pattern的思想来源于建筑学一样)。我的视角里PCI同经典的接口编程或者插件式设计非常接近。接口本质上是一组规则的集合它是对同类事物行为上的表示,它的主要目标是实现相同类别的不同对象行为上的多态性。面向接口的编程是OO思想的精髓所在。它的好处体现在哪里呢?首先它增强了系统的灵活性,只要遵循接口定义的规则,系统的底层实现部分就可以灵活的替换、扩充如:PCI总线定出了设备的统一的硬件接口,这样遵循该接口pci device就可以方便的扩展入系统;另外相同的接口可以接入不同厂家的设备就像同样的sata接口可以接三星的光驱也可以接LG的。其次规则给出以后,实现该接口的部件就会有共通的接口但是不同的实现,如此系统端就可以通过接口灵活实现对部件的操作配置。PCI定义出了三种规格的配置空间,根据配置空间提供的信息系统端可以方便的识别设备的种类,功能甚至于厂商和版本号,获得非常丰富的系统端知识;而且该功能也使得设备可以动态的配置资源进而能够做到plug & play
* J+ j& e0 r! x: q

3 W3 q. H0 Z, ?6 q7 }3. PCI Scan
+ S" G$ R& r. `) S+ a$ G% L  X5 A. M6 a

9 w, {$ [" k* [" QPCI Configuration Space是大小为256字节的一块空间,它由headerdevice specific两个部分组成,其中header部分是固定的而device specific
部分则是与device相关的,不同的device会有不同的layout。配置空间被用于配置,初始化以及灾难性错误处理的功能。下图1type 00h Configuration Space Header8 P$ A0 Z" n$ k

. u# A, h. {! ~ pci1.JPG
1 s4 Y3 d2 S* j* L2 f: r2 A
# K( U/ V# R7 V( S# K8 \1
! j, i. h, R* h& p5 O) m
PCI Scan的重要任务就是读出该256bytes 配置空间,那么如何读取这部分的信息呢? 有下述两种方法:
- M3 E# g* {( V1.使用0CF8-0CFB, 0CFC这两组IO port存取PCI Configuration Space总线号、设备号、功能号和寄存器号组合成一个双字送到配置地址端口(CF8H-CFBH),然后读写配置数据端口 (CFCH)即可获得配置空间的数据,下图2是配置地址寄存器的格式定义:
3 V, y7 T2 |! ^4 z9 ]
  R9 V% ~  G  U4 ?4 G4 i) y/ T pci2.JPG
4 _4 _) O) W! k. ~; E9 }8 ^# Q5 e
; P; c+ Y& I8 J& Y, i8 M/ L2

2 L8 {5 o- I% d5 M" I* e所以我们先要build一个config-address然后再去透过端口存取配置空间。$ _7 b8 F, E# N8 K
下述代码用于build config-address
% C% J) d2 ^5 x9 g- [7 v& U7 h;----------------------------------------------------------------------------1 `8 n3 Q; ?- s4 E/ q5 m# ~2 f0 _
;build _pci_cfg_add:
* v! ~, p. k1 ~& J. o+ W; J
;build pci config address
' y7 V3 W7 ^3 ~
;used registers:eax,ebx
% ^0 E$ E+ s2 x! h6 [* y;-----------------------------------------------------------------------------! o3 C* x6 X( y6 o% b
build_pci_cfg_add proc near
7 E, m2 \: E1 t1 b% I( @! r
4 O6 u! S& M2 Ipush eax
8 i. P7 C1 T3 X$ ]2 ?- R

  z, W; [- H; s4 S% s8 w3 Ypush ebx

) m% a- y9 y; d5 V; f, `, Y/ a% G" V' N, n# b; E& F* A4 D& M5 H
xor eax,eax
3 c1 F! z3 C3 c, O' W. z- [: t9 z
xor ebx,ebx

( N& X  g8 Q0 N6 q
* T6 s+ y4 \# ], O9 Kmov PCI_CFG_ADDRESS,80000000h
* Y' F: _$ t/ G: n+ O: B% Y
5 y* a& H% {8 T+ J3 R6 y# K
mov al,PCI_BUS_NUM
8 Y$ n* I, k5 X) B5 Z' O

4 Z# b9 d; K8 [1 e9 X4 u" f) qshl ax,08h

0 w! K9 O9 Y2 @9 }2 B' u# _8 B; n
mov bl,PCI_DEV_NUM

% U7 p, C. O' z0 d  ~$ Q/ H) d% I" f0 M% p
shl bx,03h
; P; F8 ^- _  n9 g& d
! L8 \" O0 s. i
or ax,bx

. ]- R% W0 \! z. _! L; V( S& P: g" {7 P
or al,PCI_FUN_NUM
2 b  N& p' C6 K$ x+ c1 I  V" y

# A$ X3 R# ~% ^' Q- J
5 B' w$ ?( F! z7 n1 u5 pshl eax,08h
- q% G+ K5 ]0 V7 I8 u

/ B; M0 f+ ]# t( h$ gor PCI_CFG_ADDRESS,eax

& ^3 C4 Q! l0 l. X. t
8 K* \5 [# G1 r0 N. H( P1 P' Q- E! N
pop ebx; ~/ I+ L) J; d

9 p  L- |0 ~! Z/ K2 H  ]: _% npop eax- k+ C/ `8 v5 a2 j

# g4 b  P4 x7 U9 [% A) Y. Gret

- c, {! K$ U  u3 X# {! l
! D: N' Y5 r$ o+ ]1 E% X; ibuild_pci_cfg_add endp. F$ [) C, z* x5 `+ s( J% V/ A' ]& Y6 y
! E1 d/ z1 ~: B0 U9 P% E: d' W

- j+ o: ]. S+ T4 E! m* d7 G4 Hconfig-address准备好以后接下来就是透过IO port读取pci configuration space了,下述代码演示读取的过程:
: y5 _- o1 a+ G3 j% g6 U;----------------------------------------------------------------------------% H+ X5 }  v% N! s2 {9 V+ a
;read_cfg_space7 [& y+ N7 n# G3 ^' U9 X* O
;read pci config space use io port" P, V' k$ c' [" P3 ^( p9 J& M
;Called with:NULL
) d8 P; \/ |0 N" V0 H0 S: K;used registers:eax,edx
5 c2 Q, L% b# r* d6 g$ h;returned regs:eax
: }; t5 i/ E, a5 e, t8 I1 r* w;-----------------------------------------------------------------------------) Z$ O2 C( L% ?5 B% W
read_cfg_space proc near
8 i5 n; I7 Q8 Q' C6 b, H6 v' q: I( K4 K
mov eax,PCI_CFG_ADDRESS
* I1 w' _5 A, W( h  c

! ?+ P% Y+ b! ?* o0 uor eax,edx

# j( X! t- \6 D0 V/ j# @. E
5 |! J2 R5 @! a: r/ {7 nmov dx,PCI_CFG_APORT

# b3 K' U  p$ n
6 i4 }  {- Z# ~5 g  mout dx,eax
' Q* n! `1 X3 _' F! e+ g

5 I, A; h$ _. i7 R6 imov dx,PCI_CFG_DPORT

9 }  a- A; C7 w/ u& f& C2 R) p) T7 ^- ]# n7 U$ y
in eax,dx
( ~7 i2 H# n9 T  ~& c

* p, a: B) c8 Oret
( m8 D# a  b" c( |) D7 a! K

6 v: _5 g) x( _- {9 vread_cfg_space endp
0 V+ H' B6 _% H

! H$ c+ t  U! E1 b) `7 ~# L4 B" E) }9 r! i5 @- U; Q
理论上PCI bus支持256条总线,每条总线支持32device,每个device又支持8function,所以我们组合出上面所有的可能就可以遍历出所有的PCI 设备了。可是实际上PC上面PCI 总线通常只有1条,最多也不会超过四条所以我们只扫4条总线就可以了,不用做太多的无用功。有了前面的准备,我们就来实现一个类似RU中的PCI scan吧,下图3就是我写的pciscan运行的状况了:
: Z9 r) d! u- M: K( \! _: G" E! p& S8 Q' W- j7 w- j( \% e
       pci3.JPG                                        4 [2 d: p, B6 A9 f+ D$ U! |
- h- j  G0 f4 x8 j* s4 v. I0 M
3

* Q$ ^- E; Z. M+ F4 X* K/ ^4 j在该界面下按下esc就会退出该程序;移动↑↓键就可以选中device,然后敲enter就会看到该deviceConfiguration space 如下图4所示
9 F' L  i& y% ^9 R3 g pci4.JPG $ w- X/ l3 D3 ^) p! Q  }, a

7 {% J# P) h# K2 c' Y8 }4 ]2 @1 L0 o) |
4

" W0 r2 |: k. l3 ~; _. L* Z$ y当前界面下如果想返回到上一个界面只需要按下F6就会回到图3的界面了。
9 d) m  ^: v; e8 y- }* m' B4 A3 F
2. Call PCI BIOS int1A同样也可以获取PCI device的信息。其中AH=B1hAL=function id所有的function id如下所示:
& i. f4 [/ y# H01h: INSTALLATION CHECK
+ ~( a% g" g6 {; }0 K02h: FIND PCI DEVICE
5 a2 W& U3 B8 G/ w- Q03h: FIND PCI CLASS CODE# K. W. \5 [  }1 W* L6 Q
06h: PCI BUS-SPECIFIC OPERATIONS8 O3 M9 v  M  r* e' z" A$ y5 F( S9 m
08h: READ CONFIGURATION BYTE! s5 _# z  T  L- _7 k2 n
09h: READ CONFIGURATION WORD+ e: Q7 j! B5 l' j! L
0Ah: READ CONFIGURATION DWORD
0 |. I; u: a3 t  g0Bh: WRITE CONFIGURATION BYTE7 c; z, ^* ~9 G! ^2 r+ X% \
0Ch: WRITE CONFIGURATION WORD6 U: ^/ w. |& ^# n5 w- E
0Dh: WRITE CONFIGURATION DWORD
) \4 O8 l& r- ]9 E- T* X0Eh: GET IRQ ROUTING INFORMATION, k+ }8 H& l4 ?: F; {1 G
0Fh: SET PCI IRQ
7 R' s# ]& a5 b8 ~/ i81h: INSTALLATION CHECK (32-bit)1 [7 k( H& N, y. W
82h: FIND PCI DEVICE (32-bit)
. l1 r( S3 x! Q8 @% V83h: FIND PCI CLASS CODE (32-bit)) w5 W  J" _( M2 j
86h: PCI BUS-SPECIFIC OPERATIONS (32-bit)
0 Y5 U6 i& T6 A; q88h: READ CONFIGURATION BYTE (32-bit)2 }4 k6 q% |2 M1 Y5 H/ ]7 T( }
89h: READ CONFIGURATION WORD (32-bit)
: ^, Z' ]# {% J6 m* A- `/ P8Ah: READ CONFIGURATION DWORD (32-bit)* V6 r* G, Z4 a2 ?
8Bh: WRITE CONFIGURATION BYTE (32-bit)
& {8 ~; h2 Z& ~' E; x; e8Ch: WRITE CONFIGURATION WORD (32-bit)
9 P. g4 H# T( v( j1 F! _( O3 A' q8Dh: WRITE CONFIGURATION DWORD (32-bit)& ~& K' i3 ?) K9 G$ U
8Eh: GET IRQ ROUTING INFORMATION (32-bit)1 J! k& J8 O* B
8Fh: SET PCI IRQ (32-bit)
+ S; s9 Y, ^7 ?( I我们使用function id 09h就可以从configuration space 中读取出一个字,这样的操作明显简单的多了,只需call 一次int1a中断即可。下述c代码演示了读取Vendor id的过程,如需读取其它部分只要算出具体config-address即可。, }5 t% g4 S! Y& {0 v) @# ]6 I; e% a
' _! J! n' G, `8 l' [9 r
#include <stdio.h>
7 R% B& a8 E8 H9 x0 @#include <conio.h>
! y% f( K4 D5 R- ?+ i, y5 H' p#include <dos.h>6 [7 a- R; M: o% b
8 L9 @# D- U9 \* s% G- |- }
int main(int argc,char** argv)/ H$ s6 s. O5 P
{
/ }8 U' Q' c  m7 K) k" A+ I# t: ?* P1 v: i! j
     union REGS reg;
+ d- r9 Y' u- R5 u% L/ \: v* k
  }# I/ ?! [+ i3 W3 Kargc = argc;

7 p: p) l0 o' r, b' t9 b" Q  }
# f, J2 q3 b( @; a" k7 v4 Yargv = argv;

4 l' v3 b+ D8 W: q0 B7 O8 L
+ Y0 [5 X- w; O+ Y& C0 Zreg.x.ax = 0xB109;

7 X+ F* U9 Z4 P1 ]9 Q2 f* ]# Y( h8 w& [( C6 B* w. e* q
reg.x.bx = 0x80000000;

" c$ e1 \' S8 j; I; e2 h0 X  T
& t; R/ J# }* a' Q% ~1 r" Z' U! A1 R8 C! }! g* y1 g: g
reg.x.di = 0;
) m9 ~2 ^) |; n0 n4 d

6 O0 r/ K- c8 J  Z
- Z, _: F3 \2 {' ^2 \3 mint86(0x1A, ®, ®);
! H6 o3 b  y6 l, R

. F6 `; _% `3 a1 b6 R) U) C+ r     if(reg.x.cx != 0xffff)% G" P+ X1 p! e9 }' m
8 I( ^6 T& r, J
{

5 X8 i* D3 ^: D7 E  g6 J5 C) j: Z6 Z$ b; \# V
printf(
"Vendor : %4.4X\n", reg.x.cx);
( B* N: J4 ^3 {3 |# o

3 ?* A3 g1 }7 L" ~6 Q+ ~, z}
  n; F) p5 h# g1 l& ?

& T5 K) l; c) i6 i. a1 C, X     return 0;7 e; a& h# K: R) w/ a' B
}
( u; k8 W- @% V7 O  A( o* ?+ R
* h, \3 i# `, p! t程序运行结果如下图5所示:
6 q2 O, {0 a2 A0 U9 v; F- O2 j2 \
% P1 [) C6 n( {  a) M9 c pci5.JPG
) H4 S, ~' }- z6 g- L8 N" b% j6 Q. e$ P( a
5
, l6 ~9 }7 n9 O  \
+ B! z! U1 C1 r/ [9 e, n+ q3 P, B
最后依旧是开放完整的source code和可执行文件供有兴趣的朋友下载
% U# d+ `" U; [; l8 I) ?1 b) C& x6 E2 d+ B3 |- v
) J% D# c4 }3 L+ j
Enjoy it!
9 S0 Q  M( H2 u  X- H+ c/ G% L; J4 J5 p- o' G$ y6 W
- \4 }( i4 R7 h3 Z: h
That’s all!  ~- f5 \- B6 R! I
: L4 r- M/ `$ V% _  J* [$ V
Peter
% o" o. {( M9 y7 a& \& T+ E' W9 K! }, p* l' k0 L
[ 本帖最后由 peterhu 于 2009-7-6 16:55 编辑 ]

PCISCAN.rar

4.69 KB, 下载次数: 551

回复

使用道具 举报

发表于 2009-7-7 11:28:28 | 显示全部楼层
厉害!!!!!!!!!!!!~~~~~~~~~~
回复

使用道具 举报

发表于 2009-7-7 13:35:14 | 显示全部楼层
Peter Open Source 的观念很强啊,想当初小弟刚开始接触BIOS的时候,要是碰上你这样的大虾,那该多好啊.... d# t$ O6 B; G* z, `) \5 O* V
UI 就不用画那么辛苦了
回复

使用道具 举报

发表于 2009-7-7 13:40:56 | 显示全部楼层
同感,同感!!
回复

使用道具 举报

发表于 2009-7-7 14:47:21 | 显示全部楼层
支持LZ     !
回复

使用道具 举报

发表于 2009-7-7 15:50:58 | 显示全部楼层
预祝Peter转业成功!
回复

使用道具 举报

 楼主| 发表于 2009-7-9 10:17:02 | 显示全部楼层

戏说BIOS之Clock Generator

戏说BIOSClock Generator

& C: i6 c6 Q. [. l7 e. Y

: x3 p3 _  S" _0 @; @& N1 W) c9 r1. Introduction
. i, C% d! t5 g+ O, s
% v$ S3 [5 ]4 M1 t9 J1 CClock Generator是主板上面一颗极为重要的IC,说它极为重要一点都不为过,因为Clock generator负责提供主板上的clock, 一旦Clock Generator除了问题,板子基本上就完蛋了。Clock generator供给的clock部件有CPU clockPCI clockAGP clockPCIE clockSATA clockUSB clock等。

0 H  K* D& c7 g* ]/ J8 z5 K; d
. @; A+ N! x- F3 C
3 G" f) ]* Y( m2 e2. How to work?0 \1 H) [" O8 x% _
/ j- |* _+ `5 o3 C. c: m% z. v
Clock generator 是一颗IC,它有一颗外接晶振,内部会有锁相环放大调整电路,可以将外接的晶振产生的clock放大调整然后再分频输出到各个外围器件和总线,提供器件和总线工作所需的clockClock generator的工作原理如下图1所示:
$ Y' Q1 v' J* D, k
2 u( L, H- u) n7 r" _0 u' {8 |
cg1.JPG
! F& g' R5 E" t/ W% g$ \3 K2 NClock generator通常是一个smbus device,接在SBsmbus controller所以通过SBsmbus controller,使用标准的smbus protocol就可以存取Clock generator上的configure data从而达到配置各个外围器件Clock的目的。鉴于clock generator的重要性,所以BIOS在非常早的阶段就会配置它(boot block阶段),让CPUmemory等的工作有一个稳定的clock。下面我就以VIA平台为例演示Clock generator读取过程:  Z0 B: S- j9 `$ O) ^5 J

# Y* y$ c, O. Z' m3 q2 v4 I
  • 首先要通过PCI配置空间找到SB smbus controllerbase address
  • 查看Clock generator smbus slave addressICS9UM700 slave address42h
  • 透过SB smbus controller下达slave address & protocol完成clock generator数据的读写。

5 q$ Q: Y3 T* x+ ^下述code演示了获得smbus contoller base address的过程:0 ~/ g1 t6 n0 Y/ w. {/ h5 p- N- [, W% j
;----------------------------------------------------------------------------
7 b5 v9 f  F4 u" g3 s1 ~( K9 j;get smbus base address
5 r: Z8 z/ c2 p6 U* p;used registers:eax,edx1 Z) u. U$ h8 v
;called with:NULL
; F# t0 X- s9 d  |7 N5 M0 h;-----------------------------------------------------------------------------
  ?5 Q+ u  g: w# R6 Mget_smbus_bar proc near9 A- H3 R0 k' F$ J+ z7 x) }. b8 Q
5 ^$ I+ z/ U; g# P) T! W
push edx

8 x; P7 n: j: i5 b/ K1 K# o4 D
' }( P) v: t+ X- Ipush eax
, a# C& E! z5 @+ A) v8 N8 v
( d* w, ]$ n  c6 K( o1 N
mov dx,PCI_CFG_ADD
  S/ [, Q8 L7 p8 U0 m
: T/ @9 D5 `* ^1 G, l6 b  I
mov eax,PCI_SMBUS_ADD

# O9 ^  \( ?7 [  d8 v% o" I4 A: [- _% I; t6 n
out dx,eax
5 ]' R( ]% x1 O3 o/ a

, U$ z* F7 ], c7 n7 D, jmov dx,PCI_CFG_DAT

, Q" b- C5 ]3 V% E) `, m
1 }2 g2 {, C- `in eax,dx

+ c* i5 ], W0 X
/ ^3 N0 g' C- ?2 yand eax,0FFFEh
, l) [. {8 f$ L5 O2 U# v# r* \" Q4 v
' @* y8 B" c7 G0 s& G0 N4 ~1 C
mov SMBUS_REG_BAR,ax
8 m% A' w$ ^! k  ?& Z' C

7 O# x) L5 }3 X, g+ N, Q  y2 a2 Y$ E% ipop eax
3 Y+ n) E, L  Q' Y. i6 ?/ w0 P
. ^9 n( R# x4 ?" g( i& D
pop edx
9 z' ]( I) K3 F4 D

1 X3 Z: ~+ I) pret
& D( a; x- I. O* I
get_smbus_bar endp7 s" u& Q) b2 {9 T8 b  u4 W
/ r$ i$ ~  A4 G1 q( [
下面的code演示使用read block protocol读取clock generator configure. ?3 p! p  @- |$ `) o8 I
data的过程:
0 n8 @+ m, l: f& R( ?;----------------------------------------------------------------------------+ l; o( L% m% V. n
;read via clock gen data by read block protocol3 ^% i! Y9 Z2 {) G! E% R
;called with:NULL
0 y. y3 ~" }* _$ N7 ?: \;used registers: ax,dx,bx,cx0 C! _  n' h! z3 L( {# g% r1 K

0 f. y1 D, I- X4 g;-----------------------------------------------------------------------------
/ S6 V) O- p* C+ B& oread_via_smbus_block proc near% a9 v7 K8 M4 F2 F

: p$ t& N# S& C. K( Y) x* Apush dx

! @; O  E! U7 c3 `* D# ?6 K! S3 [+ U* S% }# T$ ?6 B( E" q( C
push cx

- j# }% r  q2 B! J. _  [8 \& f5 B
& W2 m( Q0 r9 Wpush bx
; w1 O( _, U. Q! T  J' [6 \
2 H% V) I# @0 Z2 P! S
push ax

2 P. S  X- {9 W) N6 u
$ f# ?# d5 F, B/ l
3 _$ `( m& B& u) Wcall get_smbus_bar

! f) p+ A* T: M9 c* r8 o; _( T! h; @/ p+ ]/ u4 @$ p
;reset host status registers

) c* T/ D0 t% |- t! W4 q
/ ~' s5 P( q" Z& ]+ Tmov dx,SMBUS_REG_BAR
, ]3 j% U7 ~; Q. d$ j

+ f' }8 A" a) s8 _7 l( S, f4 T/ Lor dl,SMBUS_HSTS_REG;(00h)
2 \. i8 E$ _; [, s( I

/ f" L* |/ M8 ?% M' mmov al,05eh

/ K7 z) D+ U, y- g4 e6 ?
+ P% t" @4 X! j7 x- I6 [out dx,al
6 a, N, R' R" Z/ a

$ |& y  K! X; o, b$ k+ j9 f2 x
0 `4 L5 k& |* N" R9 v;set smbus slave address

$ l2 P) D1 K/ \
' Q3 ]# R/ s3 Gmov dx,SMBUS_REG_BAR
& J& u4 k* F- T/ n# w; f2 F
* l: U/ ~( B* M8 V& v1 H- _
or dl,SMBUS_HADD_REG

9 G* {* a! t* S4 b) ?: c0 j
* h' w, H4 q* U' p: |- K+ Wmov al,SLAVE_ADDRESS

& U& x  i0 c# g
9 v9 E' Z% K$ x1 {or al,01h

' P7 j3 x1 P: Z1 W0 f9 m! ]4 o* `4 w: n* w
out dx,al

! f! J/ K5 F7 E. Z' [
9 r/ E) ^9 N  \call io_delay
) t8 v6 L" U- w9 r: M! Y/ {/ Z
/ i8 y# w8 W/ p# @$ C% W+ G
7 K& B+ z4 u1 U7 ?: I
;clear smbus status

& I/ a" Q6 D9 K& j8 ~. h( G. y) A" W( ?! w' q8 ]" }: Q# F) w) O
mov dx,SMBUS_REG_BAR
# r4 H3 ~! e0 T/ Z" O( c+ c$ i

7 n' z! X4 V, U4 i; h: Ror dl,SMBUS_HSTS_REG;(00h)

$ }4 A1 W  l# H8 Y- e
6 l. u4 g; y" W. J3 H5 Z- R9 Bmov al,05eh
% N0 ]7 o! u6 r7 a- E+ F$ b0 X1 m
* W1 S2 J% U3 H# l" @# {
out dx,al
$ Z) ^  X- L+ N4 Z0 O
' y$ o% c! F2 e4 t6 S: o
call io_delay
3 r3 ]% a. z, F2 ^6 k* i

- u# B& f4 ?" F7 K. L
' \" q: {0 G6 n+ z+ R;clear smbus command byte

  p. D# G1 w; l% {
& y8 j1 r3 R6 X& K0 h; r. Omov dx,SMBUS_REG_BAR
: S8 Y, F0 {9 m
' \$ }  M" l9 K% K: P- e) g
or dl,SMBUS_HCMD_REG;(03h)

3 `/ ]+ G% b" C
% g5 r7 r5 f! o/ w0 O6 K, Mmov al,00h
% ?& @% x: V5 G

7 T9 K  B. n) M9 bout dx,al

: [% f" E1 v+ E
( |1 P. N' F% }& W- l, H* Z. e, U1 S
" a+ q1 {) x3 _, l8 E, n;block read protocol
4 c5 |+ u! T2 ^; c. g5 o

  A' A- A) z2 I( d  ]9 d6 d& Xmov dx,SMBUS_REG_BAR

* m  j. x7 l+ I  K
* s$ B) y0 k) i5 ^0 o. n+ O+ {or dl,SMBUS_HCTL_REG;(02h)

2 m( I  B, Y9 K  L! w% k9 I' z/ O- G
/ O& D# T7 a9 q8 @# X# {* n8 ]mov al,54h
' s1 }! @$ f# A$ ~

$ z  X( X# S! V$ |) Oout dx,al
1 Y1 ]5 |6 _7 a& C- d
+ g6 t$ p* u; [; j
call io_delay

& {) Y! ~6 n1 p. K( [" L+ |. h! R7 U

3 L: t0 F. l& z# F. ?8 K;wait for smbus finished
9 j8 {$ l8 _2 b. I/ w& _4 T& u5 f( s! o

' _  |- {9 ]0 }/ mmov dx,SMBUS_REG_BAR
1 x4 s' a% U" S% [! W

! q. P5 p. }! \( [! ?3 xor dl,SMBUS_HSTS_REG;(00h)

3 r0 J" h( ^. K/ \% f: @) V* g, ~+ ^
rvsb_wait_smbus_fi:
/ ~+ \: T7 d) d+ g+ Y% B
7 p( y/ O* U& e& Yin al, dx
, q  W3 ]; l  W6 B9 p/ D' ^: c, P

0 [5 T$ h; w& H# N/ a3 Vcall io_delay

& d4 y+ L% ^3 M+ {8 t3 X* K
) \3 k3 ]# m* B5 l/ h! o$ Otest al, 01h

1 G$ U* e  L" q3 e, @: H& b* h7 R8 O# B
jnz rvsb_wait_smbus_fi
# Z( ^% Z1 W3 [: J; k" t% p
8 f- C0 \/ s, Q
0 ?3 m3 w  g6 s$ f* Y$ F, @5 L
;read data count
% q$ `9 E  Y# ^4 f" ?) P; q# S
5 X3 K5 ]! B- e/ x/ u1 Z8 R
mov dx,SMBUS_REG_BAR
7 b% K! |4 z) A+ q/ t

9 u* e6 L1 m  l6 h9 |/ ?, E8 tor dl,SMBUS_HDA0_REG

0 p1 Y- N8 Y5 O' @/ }4 ]
& y' Z( c8 B7 [3 B8 Qin al,dx
' T: k) T! V$ C4 R" W% q9 |( }
: ?# Z/ Y8 ~7 r. B) }( L7 O
;read data from smbus block data

) }  b. k5 e# \  o/ H
3 [# Z2 f2 x! L7 xmov cl,14h

  @- `) m3 t8 z( a( r- V8 q) m7 D
mov bx,offset REGSTR
; ^7 r" l$ K" I/ |2 }
rvsb_read_data:3 }1 b: c- u2 O2 S: z

& J0 Y5 ~+ N3 c4 w) M* ]4 E) ]mov dx,SMBUS_REG_BAR

9 z( X6 n* @& v6 V4 O% U2 B# c6 @. q0 J! C( {
or dl,SMBUS_BLKDA_REG

1 G/ u4 `3 R1 e  M, U) @6 r
+ b" G7 a7 _4 {9 o4 sin al,dx

  B$ D( l& v& }3 |" ^/ }4 }: B# l' e: d# D
call hex2asc
- Z; n/ _) A) Q

7 u& P# D& F# @9 Udec cl
, A/ g2 m& A& q( T( o6 w6 L

: p! h: S: V- X% Z# y: |$ j/ [inc bx

6 V0 s% y" f! ~# Z  n+ K& ?, f% a! n
inc bx
( r6 W3 I- u) b' V9 G  B( y
6 A# p9 f1 X: g. [+ g4 U( V, ?' jcmp cl,01h
3 s7 r$ ~, I. @! X4 g0 W( O. c$ v8 O# o3 z! w& C
jae rvsb_read_data
- m" ~6 g! F1 a" g  t- f; D9 d8 G
pop ax
$ C7 A' @+ R( H7 j4 S2 ~" ^" W

/ d1 u0 B. f2 u( L( v+ ~1 Ppop bx! v( T" `6 ?  b0 I: [, G
1 O8 d1 F6 J; [0 h
pop cx
7 D. S! a: x6 ]/ X3 a# Q. t
/ y! H' I# o  `6 ?7 h. W# G9 a
pop dx
' y3 M  \+ s) E! p2 Y0 g
) K' w) i1 u. x7 N; Z
ret  n1 {  y0 r% S5 Q
read_via_smbus_block endp
9 Y/ G, r) @) h9 F" \! F) h! R) M# o  P
& n& K1 D- x4 V$ W6 _, {下图2演示ClockGen.exe运行时的状况:
  A( z* L( ^# w; u1 `
# H+ u# _4 F6 f6 B; E# ^3 w) W
cg2.JPG
; k+ g- I! B9 F& {5 \9 u              图2

2 y" o/ R; ^; D9 IClockGen.asm只演示了VIA platforma + ICS9UM700dump过程,至于其它的平台,有兴趣的朋友可以如法炮制。那么这只tool可不可以做成通用的呢?当然可以,只要从PCI configure space读出Vendor id识别出平台然后再根据具体的平台spec去获取smbus controller bar(可能要多看几份specJ)然后就可以操作smbus了,clock generator slave address可以让用户输入(我看到的几个都是42h,但是也会有特例)。我比较懒,有兴趣的朋友可以试着完善它。最后依旧是开放完整的source code和可执行文件供有兴趣的朋友下载。
0 f5 g4 C. l* `) [# b' z

. {! S# S1 ?$ U) j# O! \! E% u

4 C/ K' g$ @8 S& cEnjoy it
- G- d& M5 J( U) R6 z
3 [5 t5 n! v3 W3 |' m  z2 ^1 }1 }' u& T2 x7 M
That’s all!
/ S8 C7 w; d- A6 x& t, V1 W& J# C/ v5 F- I% J$ I* \* s7 c9 ]
Peter
/ q( M& f) Z% m+ {3 ^5 R5 A
7 |6 ^3 B+ S' e[ 本帖最后由 peterhu 于 2009-7-9 10:26 编辑 ]

ClockGen.rar

4.1 KB, 下载次数: 520

回复

使用道具 举报

发表于 2009-7-10 15:03:12 | 显示全部楼层
向Peter致敬!!!!!
回复

使用道具 举报

发表于 2009-7-10 19:36:38 | 显示全部楼层
Peter兄,这怎么能叫不务正业呀,我也是BIOS和EC都玩的。
回复

使用道具 举报

 楼主| 发表于 2009-7-10 21:21:19 | 显示全部楼层
Alexander 你功力深厚啊!
4 N7 g7 A4 ^0 w4 Q& }呵呵...向您学习.& T( Y! ^6 M8 q' j

1 L/ f" L4 a" O! v[ 本帖最后由 peterhu 于 2009-7-10 21:22 编辑 ]
回复

使用道具 举报

发表于 2009-7-13 14:40:45 | 显示全部楼层
好强大的帖子 我正处在入门阶段 能看到这么细致深入的教程感觉真是很激动 拜读中
回复

使用道具 举报

发表于 2009-7-16 13:14:42 | 显示全部楼层
peter,可嘉可贺!
回复

使用道具 举报

发表于 2009-7-20 17:08:06 | 显示全部楼层
俺也搞了个类似RU的东东,献丑了。

Hardware Editor

Hardware Editor

HE.zip

32.81 KB, 下载次数: 485

Hardware Editor

回复

使用道具 举报

发表于 2009-7-31 23:17:14 | 显示全部楼层
Faintsnow好样的~
* ~  T2 H  `- c: D: y这个Tool实在是太棒了, 很好用哦~- ^& A6 J; c4 j4 [9 S' d: p$ C2 k
我顶~~~~~~~
回复

使用道具 举报

发表于 2009-9-4 23:24:56 | 显示全部楼层
顶楼主。 , a" @' }7 }% d
拜读啦,O(∩_∩)O~
回复

使用道具 举报

发表于 2009-9-11 00:12:07 | 显示全部楼层
还真没有几个能够“戏说”bios的啊。 你算是个高手了。
回复

使用道具 举报

您需要登录后才可以回帖 登录 | 加入计匠网

本版积分规则

Archiver|手机版|小黑屋|计匠网

GMT+8, 2024-5-15 13:32 , Processed in 0.041828 second(s), 19 queries .

Powered by Discuz! X3.5

© 2001-2023 Discuz! Team.

快速回复 返回顶部 返回列表